OpenCores
URL https://opencores.org/ocsvn/manchesterwireless/manchesterwireless/trunk

Subversion Repositories manchesterwireless

[/] - Rev 16

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
16 Removed useless code kingmu 5439d 09h /
15 Replaced with more advanced version created by Thiagarajan kingmu 5442d 11h /
14 Removed Xilinx warning caused by missing signals in sensitivity list kingmu 5442d 11h /
13 Merged rewrite of singleDouble into trunk kingmu 5443d 09h /
12 Trivial updates kingmu 5449d 16h /
11 This is a perl model which functionally simulates manchester encoding and decoding. thiagu_comp 5451d 01h /
10 This folder contains the perl model, which functionally simulates manchester encoding and decoding. This can be used to validate the HDL model. thiagu_comp 5451d 02h /
9 Modified next state logic to avoid gated clock on net clock_zero_en_0000 during bitgen process. As a default case the counter enables are de-asserted. thiagu_comp 5454d 02h /
8 Removed old singleDouble and added .ucf kingmu 5457d 04h /
7 Added new singleDouble files kingmu 5457d 05h /
6 Branching trunk to experiment with new singleDouble module kingmu 5457d 05h /
5 Tagging 1.0 release kingmu 5457d 14h /
4 Updated simulation files to reflect new module names kingmu 5462d 09h /
3 Renamed files/modules. Added documentation. kingmu 5462d 10h /
2 initial commit kingmu 5463d 10h /
1 The project was created and the structure was created root 5470d 02h /

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.