OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

[/] [plasma/] [trunk/] [vhdl/] [uart.vhd] - Rev 352

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
352 linus 5541d 13h /plasma/trunk/vhdl/uart.vhd
350 root 5570d 09h /plasma/trunk/vhdl/uart.vhd
334 Short time for averaging read signal for 12.5 MHz case rhoads 5698d 23h /plasma/trunk/vhdl/uart.vhd
279 Expand read buffer size to two characters rhoads 5994d 21h /plasma/trunk/vhdl/uart.vhd
180 Easily permit full UART simulation rhoads 6357d 01h /plasma/trunk/vhdl/uart.vhd
139 Major changes -- updated to Plasma Version 3 rhoads 6670d 21h /plasma/trunk/vhdl/uart.vhd
75 cleanup rhoads 8020d 19h /plasma/trunk/vhdl/uart.vhd
55 Altera rhoads 8029d 01h /plasma/trunk/vhdl/uart.vhd
48 Altera rhoads 8039d 20h /plasma/trunk/vhdl/uart.vhd
47 Altera rhoads 8046d 21h /plasma/trunk/vhdl/uart.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.