OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [workspace/] [synthesis/] [quartus/] [waves.stp] - Rev 44

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
44 Committed latest changes to Quartus synthesis folder and some minor changes. daniel.kho 3330d 02h /axi4_tlm_bfm/trunk/workspace/synthesis/quartus/waves.stp
42 Major enhancements and bugfix. Used DDR for AXI BFM for enhanced functionality and performance. Tested in simulation; TODO update synthesis design files. daniel.kho 3675d 02h /axi4_tlm_bfm/trunk/workspace/synthesis/quartus/waves.stp
30 Refactored synthesis scripts. daniel.kho 3752d 07h /axi4_tlm_bfm/trunk/workspace/synthesis/quartus/waves.stp

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.