OpenCores
URL https://opencores.org/ocsvn/distributed_intelligence/distributed_intelligence/trunk

Subversion Repositories distributed_intelligence

[/] [distributed_intelligence/] [trunk/] [BENCH/] [tb_bus_register_x16.vhd] - Rev 8

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
8 * Added a testbench for bus_register_x16
* Corrected bus_register_x16, it no longer uses a bus_access_x16
leoel 5304d 13h /distributed_intelligence/trunk/BENCH/tb_bus_register_x16.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.