OpenCores
URL https://opencores.org/ocsvn/hpc-16/hpc-16/trunk

Subversion Repositories hpc-16

[/] [hpc-16/] [trunk/] [impl0/] - Rev 18

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
18 add hpc-16 asm umairsiddiqui 3186d 11h /hpc-16/trunk/impl0/
15 New directory structure. root 5557d 03h /hpc-16/trunk/impl0/
14 just change the binding of con1, for further testing of "rtlfast" umairsiddiqui 6409d 17h /trunk/impl0/
12 speed patch umairsiddiqui 6424d 16h /trunk/impl0/
11 interrupt related minor issue umairsiddiqui 6425d 03h /trunk/impl0/
10 interrupt related minor issue umairsiddiqui 6425d 10h /trunk/impl0/
8 added generic arith unit for ALU, fixed control unit -- STB_O is now one-shot (need to update waveforms in doc). umairsiddiqui 6573d 06h /trunk/impl0/
7 testbenches for nontri ver umairsiddiqui 6707d 16h /trunk/impl0/
6 refactered fcmp, nontri version files added umairsiddiqui 6707d 16h /trunk/impl0/
5 added new testbench umairsiddiqui 6814d 05h /trunk/impl0/
4 correction 14-sep-2005 umairsiddiqui 6829d 23h /trunk/impl0/
2 hpc-16 first release umairsiddiqui 6839d 04h /trunk/impl0/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.