OpenCores
URL https://opencores.org/ocsvn/mcs-4/mcs-4/trunk

Subversion Repositories mcs-4

[/] [mcs-4/] [trunk/] [rtl/] [verilog/] [i4003/] [i4003.v] - Rev 6

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
6 Massive update of all MCS-4 components

This commit updates all the previous i4004 CPU modules to address
various bugs that were found during testing. At this point, all
CPU functions appear to operate correctly. No FPGA-specific
features are required by the i4004 CPU implementation.

This commit also provides implementations of the 4001 ROM, 4002 RAM,
and 4003 Shift Register chips, thus allowing a functional MCS-4
system to be implemented. Some of these modules are dependent on
features found in the Xilinx Spartan FPGA, such as Block RAM and
dual-port Distributed RAM, though alternate implementations are
possible for other FPGAs.

These modules have been used (along with keyboard and printer emulation
modules not provided here) to implement a complete Busicom 141-PF calculator
clone using a Xilinx Spartan 6 FPGA and a custom PCB. All functions
of the Busicom 141-PF calculator appear to function normally.
rrpollack 898d 23h /mcs-4/trunk/rtl/verilog/i4003/i4003.v

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.