OpenCores
URL https://opencores.org/ocsvn/opencpu32/opencpu32/trunk

Subversion Repositories opencpu32

[/] [opencpu32/] [trunk/] [hdl/] [opencpu32/] [testCode/] - Rev 48

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
48 Working on jump instrunctions (Imediate) leonardoaraujo.santos 4402d 05h /opencpu32/trunk/hdl/opencpu32/testCode/
46 Working on load instructions leonardoaraujo.santos 4402d 13h /opencpu32/trunk/hdl/opencpu32/testCode/
45 Preparing to test the load operation leonardoaraujo.santos 4403d 05h /opencpu32/trunk/hdl/opencpu32/testCode/
44 Start to work on store operation leonardoaraujo.santos 4403d 05h /opencpu32/trunk/hdl/opencpu32/testCode/
42 Changing Multiplexer to use the constants
Changing timing on top testbench (To have valid and stable data when the memory enable is asserted)
leonardoaraujo.santos 4403d 11h /opencpu32/trunk/hdl/opencpu32/testCode/
40 Adding feature to create file with source code tested from testControlUnit.vhd leonardoaraujo.santos 4403d 23h /opencpu32/trunk/hdl/opencpu32/testCode/
39 Adding file i/o support (Still on test) leonardoaraujo.santos 4404d 05h /opencpu32/trunk/hdl/opencpu32/testCode/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.