OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] - Rev 146

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
146 Update. Overwrite of previous wrong version upload. mihad 7254d 15h /
145 PDF regenerated. mihad 7254d 16h /
144 Added PCI Bridge configuration software provided by
Friedrich Ralph.
mihad 7261d 23h /
143 Added SubsystemVendorID, SubsystemID, MAXLatency, MinGnt defines.
Enabled value loading from serial EEPROM for all of the above + VendorID and DeviceID registers.
mihad 7263d 18h /
142 Single PCI Master write fix. mihad 7373d 14h /
141 This commit was manufactured by cvs2svn to create tag 'wb2hpi'. 7428d 19h /
140 Update! SPOCI Implemented! mihad 7428d 19h /
139 Added for SPOCI testing! mihad 7428d 19h /
138 added test_initial_all_conf_values
mbist_ctrl_i replaced by mbist_en_i
fr2201 7445d 13h /
137 def_wb_imagex_addr_map defined correctly fr2201 7455d 21h /
136 Reset values for PCI, WB defined (PCI_TAx,WB_BAx,WB_TAx,WB_AMx,WB_BAx_MEM_IO) fr2201 7455d 21h /
135 This commit was manufactured by cvs2svn to create tag 'rel_13'. 7464d 19h /
134 This commit was manufactured by cvs2svn to create tag 'asyst_3'. 7464d 19h /
133 This commit was manufactured by cvs2svn to create tag 'asyst_2'. 7464d 19h /
132 Compact PCI Hot Swap support added.
New testcases added.
Specification updated.
Test application changed to support WB B3 cycles.
mihad 7464d 19h /
131 Moved top.v to bench directory. Removed unneeded meta_flop,
modified files list files accordingly.
mihad 7468d 18h /
130 The wbs B3 to B2 translation logic had wrong reset wire connected! mihad 7473d 18h /
129 This commit was manufactured by cvs2svn to create tag 'rel_12'. 7474d 21h /
128 Some warning cleanup. simons 7474d 21h /
127 This commit was manufactured by cvs2svn to create tag 'rel_11'. 7482d 14h /

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.