OpenCores
URL https://opencores.org/ocsvn/pdp1/pdp1/trunk

Subversion Repositories pdp1

[/] [pdp1/] [trunk/] [rtl/] - Rev 8

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
8 Avoid inout signal. yannv 2591d 23h /pdp1/trunk/rtl/
7 Typo fix. yannv 2591d 23h /pdp1/trunk/rtl/
6 Modified to use dual-port RAM for scanline buffers, instead of one RAM per scanline.
Note that XST fails to create dual-port RAM if write data on one port is constant!
Next step is to use generic_dpram from opencores common.
yannv 4820d 02h /pdp1/trunk/rtl/
5 Add _i and _o suffixes to ports. yannv 4820d 04h /pdp1/trunk/rtl/
4 Filled in some comments in vector2scanline.v.
My very first Verilog module, bear with me.
yannv 4832d 22h /pdp1/trunk/rtl/
3 Unpacked source code for further development in svn. yannv 4832d 23h /pdp1/trunk/rtl/
2 Added Mercurial bundle of pre-subversion source code. yannv 4832d 23h /pdp1/trunk/rtl/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.