OpenCores
URL https://opencores.org/ocsvn/rio/rio/trunk

Subversion Repositories rio

[/] [rio/] - Rev 34

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
34 Adding first version of logical egress. magro732 3541d 17h /rio/
33 Adding common logical layer module. magro732 3542d 22h /rio/
32 branches/singleSymbol
Adding a wait-state to only insert one control-symbol into an outbound packet.
magro732 3546d 01h /rio/
31 Fixing compiler errors.
Adding support for inserting control-symbols from receiver into frames.
magro732 3548d 02h /rio/
30 Changing name tags/1.0.1 to tags/1.0.1-release. magro732 3548d 04h /rio/
29 Fixed bug in RioSwitch internal Wishbone interconnects. magro732 3548d 05h /rio/
28 Correcting bug in RioSwitch.vhd internal Wishbone interconnect.
Modifying an existing test case in TestRioSwitch.vhd to provoke the error.
magro732 3548d 05h /rio/
27 Adding missing code to single-symbol pipelined transmitter. Not tested nor copiled. magro732 3549d 16h /rio/
26 Temporary checkin of parallelSymbols branch. It does not work yet. magro732 3710d 04h /rio/
25 Correcting compiler errors in TestRioPcsUart.vhd magro732 3716d 22h /rio/
24 Changing errornous use statement. magro732 3716d 22h /rio/
23 Tagging alpha release 2.0.0. magro732 3833d 15h /rio/
22 Tagging release 1.0.0. magro732 3833d 16h /rio/
21 Branching of a single symbol version of the new RioSerial. magro732 3833d 16h /rio/
20 Adding software C-stack and matching VHDL modules. magro732 3898d 18h /rio/
19 Removing synthesis warnings. magro732 3923d 18h /rio/
18 Making RioSerial entity the same as before+minor fixes. magro732 3924d 16h /rio/
17 Removing latch and improving timing. magro732 3925d 17h /rio/
16 Removed FIFO between RioSerial and PCS. Transmitter works without idle ticks. Internal symbol fifo increased. Changed readContentEnd_o timing. magro732 3925d 17h /rio/
15 All testcases are ok. Still needs some tweeks though. magro732 3929d 18h /rio/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.