OpenCores
URL https://opencores.org/ocsvn/sdram_controller/sdram_controller/trunk

Subversion Repositories sdram_controller

[/] [sdram_controller/] [trunk/] [sdram_init.vhd] - Rev 12

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
12 1. rolled write recover clocks back to previous value and edited comments
2. increased 200us wait time to 300us in the init module
lynn0p 5342d 17h /sdram_controller/trunk/sdram_init.vhd
2 initial checkin lynn0p 5354d 11h /sdram_controller/trunk/sdram_init.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.