OpenCores
URL https://opencores.org/ocsvn/sqmusic/sqmusic/trunk

Subversion Repositories sqmusic

[/] [sqmusic/] [trunk/] [sqm/] - Rev 22

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
22 WIP gryzor 4023d 20h /sqmusic/trunk/sqm/
20 Correct attenuation for total level parameter gryzor 4026d 08h /sqmusic/trunk/sqm/
19 Sine generator + pow module with basic functionality verified gryzor 4026d 12h /sqmusic/trunk/sqm/
18 WIP gryzor 4026d 18h /sqmusic/trunk/sqm/
16 Added C++ class to process command line arguments gryzor 4027d 13h /sqmusic/trunk/sqm/
15 WIP gryzor 4027d 21h /sqmusic/trunk/sqm/
14 WIP gryzor 4028d 05h /sqmusic/trunk/sqm/
12 Phase generator for FM synthesis gryzor 4028d 11h /sqmusic/trunk/sqm/
6 Fixed Capcom interface to work with FPGAs gryzor 4031d 11h /sqmusic/trunk/sqm/
4 Added PWM converter. Not proven with 1942 yet. gryzor 4033d 09h /sqmusic/trunk/sqm/
3 Added all files needed to play 1942's music gryzor 4034d 10h /sqmusic/trunk/sqm/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.