OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [rtl/] [quartus-synthesis/] - Rev 14

Rev

Directory listing | View Log | Compare with Previous | RSS feed

Last modification

  • Rev 14, 2013-09-28 19:35:04 GMT
  • Author: daniel.kho
  • Log message:
    Added simple reset logic and verified on hardware. Added PLL to supply test clock to SignalTap.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.