OpenCores
Issue List
Documentation of VHDL for output buffers #26
Closed Schwirz opened this issue almost 14 years ago
Schwirz commented almost 14 years ago

Hi Richard,

On Documentation Rev 0.8 page 3, you describe VHDL code for inserting the output buffers for I2C lines. The last line, scl_pad_i <= sda; has to be changed into sda_pad_i <= sda;

regards Andreas

rherveille commented almost 14 years ago

You are right. Other people had reported this. Unfortunately I have not yet had the time to fix this simple typo.

Richard

rherveille closed this almost 14 years ago

Assignee
No one
Labels
Request