OpenCores
Issue List
ERROR #1
Open NULL opened this issue about 1 year ago
NULL commented about 1 year ago

Hi,

i have this error message in quartus

Error (10821): HDL error at VHDL6821.vhd(305): can't infer register for "CB2" because its behavior does not match any supported register model Error (10821): HDL error at VHDL6821.vhd(262): can't infer register for "CA2" because its behavior does not match any supported register model Error (10821): HDL error at VHDL6821.vhd(146): can't infer register for "DB_PB0" because its behavior does not match any supported register model Error (10821): HDL error at VHDL6821.vhd(146): can't infer register for "DB_PB1" because its behavior does not match any supported register model Error (10821): HDL error at VHDL6821.vhd(146): can't infer register for "DB_PB2" because its behavior does not match any supported register model Error (10821): HDL error at VHDL6821.vhd(146): can't infer register for "DB_PB3" because its behavior does not match any supported register model Error (10821): HDL error at VHDL6821.vhd(146): can't infer register for "DB_PB4" because its behavior does not match any supported register model Error (10821): HDL error at VHDL6821.vhd(146): can't infer register for "DB_PB5" because its behavior does not match any supported register model Error (10821): HDL error at VHDL6821.vhd(146): can't infer register for "DB_PB6" because its behavior does not match any supported register model Error (10821): HDL error at VHDL6821.vhd(146): can't infer register for "DB_PB7" because its behavior does not match any supported register model Error (10821): HDL error at VHDL6821.vhd(134): can't infer register for "bufPB0" because its behavior does not match any supported register model Error (10821): HDL error at VHDL6821.vhd(134): can't infer register for "bufPB1" because its behavior does not match any supported register model Error (10821): HDL error at VHDL6821.vhd(134): can't infer register for "bufPB2" because its behavior does not match any supported register model Error (10821): HDL error at VHDL6821.vhd(134): can't infer register for "bufPB3" because its behavior does not match any supported register model Error (10821): HDL error at VHDL6821.vhd(134): can't infer register for "bufPB4" because its behavior does not match any supported register model Error (10821): HDL error at VHDL6821.vhd(134): can't infer register for "bufPB5" because its behavior does not match any supported register model Error (10821): HDL error at VHDL6821.vhd(134): can't infer register for "bufPB6" because its behavior does not match any supported register model Error (10821): HDL error at VHDL6821.vhd(134): can't infer register for "bufPB7" because its behavior does not match any supported register model Error (10821): HDL error at VHDL6821.vhd(89): can't infer register for "DB_PA0" because its behavior does not match any supported register model Error (12153): Can't elaborate top-level user hierarchy Error: Quartus Prime Analysis & Synthesis was unsuccessful. 20 errors, 3 warnings Error: Peak virtual memory: 637 megabytes Error: Processing ended: Wed Feb 1 15:46:55 2023 Error: Elapsed time: 00:00:10 Error: Total CPU time (on all processors): 00:00:21 Error (293001): Quartus Prime Full Compilation was unsuccessful. 22 errors, 3 warnings


Assignee
No one
Labels
Bug