OpenCores

LFSR-Random number generator

Issue List
XOR ==> XNOR #2
Open wonderboy_mfy opened this issue over 11 years ago
wonderboy_mfy commented over 11 years ago

LFSR can be designed using XOR or XNOR gates. The taps that has been chosen here, are from XNOR, but the function uses XOR. as you see here: http://www.xilinx.com/support/documentation/application_notes/xapp052.pdf these taps are for XNOR. so please replace all the XOR in the lfsr_pkg to XNOR.


Assignee
No one
Labels
Request