OpenCores
Issue List
Write to PCI_AD bidirectional bus #2
Closed jangomez opened this issue about 16 years ago
jangomez commented about 16 years ago

I want to use the PCI_AD bidirectional bus in my design. This bus is connected to the ad inout port of the pci32tlite module.

I can read from signals of this bus, but if I need to write to any signal of this bus, a "multi-source" error appears. I need to write to this bus in order to read from the PCI bus the results of my hardware operations.

What can I do?

Thanks a lot.

-- Juan jangomez@unex.es

peio closed this about 16 years ago

Assignee
No one
Labels
Request