OpenCores
URL https://opencores.org/ocsvn/1g_ethernet_dpi/1g_ethernet_dpi/trunk

Subversion Repositories 1g_ethernet_dpi

[/] [1g_ethernet_dpi/] [tags/] [v0.0/] [hw/] [src/] [rtl/] [tri_mode_emac/] [src/] [fifo/] [tri_mode_ethernet_mac_0_ten_100_1g_eth_fifo.v] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 kuzmi4
//------------------------------------------------------------------------------
2
// Title      : 10/100/1G Ethernet FIFO
3
// Version    : 1.2
4
// Project    : Tri-Mode Ethernet MAC
5
//------------------------------------------------------------------------------
6
// File       : tri_mode_ethernet_mac_0_ten_100_1g_eth_fifo.v
7
// Author     : Xilinx Inc.
8
// -----------------------------------------------------------------------------
9
// (c) Copyright 2004-2008 Xilinx, Inc. All rights reserved.
10
//
11
// This file contains confidential and proprietary information
12
// of Xilinx, Inc. and is protected under U.S. and
13
// international copyright and other intellectual property
14
// laws.
15
//
16
// DISCLAIMER
17
// This disclaimer is not a license and does not grant any
18
// rights to the materials distributed herewith. Except as
19
// otherwise provided in a valid license issued to you by
20
// Xilinx, and to the maximum extent permitted by applicable
21
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
22
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
23
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
24
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
25
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
26
// (2) Xilinx shall not be liable (whether in contract or tort,
27
// including negligence, or under any other theory of
28
// liability) for any loss or damage of any kind or nature
29
// related to, arising under or in connection with these
30
// materials, including for any direct, or any indirect,
31
// special, incidental, or consequential loss or damage
32
// (including loss of data, profits, goodwill, or any type of
33
// loss or damage suffered as a result of any action brought
34
// by a third party) even if such damage or loss was
35
// reasonably foreseeable or Xilinx had been advised of the
36
// possibility of the same.
37
//
38
// CRITICAL APPLICATIONS
39
// Xilinx products are not designed or intended to be fail-
40
// safe, or for use in any application requiring fail-safe
41
// performance, such as life-support or safety devices or
42
// systems, Class III medical devices, nuclear facilities,
43
// applications related to the deployment of airbags, or any
44
// other applications that could lead to death, personal
45
// injury, or severe property or environmental damage
46
// (individually and collectively, "Critical
47
// Applications"). Customer assumes the sole risk and
48
// liability of any use of Xilinx products in Critical
49
// Applications, subject only to applicable laws and
50
// regulations governing limitations on product liability.
51
//
52
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
53
// PART OF THIS FILE AT ALL TIMES. 
54
// -----------------------------------------------------------------------------
55
// Description: This is the top level wrapper for the 10/100/1G Ethernet FIFO.
56
//              The top level wrapper consists of individual FIFOs on the
57
//              transmitter path and on the receiver path.
58
//
59
//              Each path consists of an 8 bit local link to 8 bit client
60
//              interface FIFO.
61
//------------------------------------------------------------------------------
62
 
63
 
64
`timescale 1ps / 1ps
65
 
66
 
67
//------------------------------------------------------------------------------
68
// The module declaration for the FIFO
69
//------------------------------------------------------------------------------
70
 
71
module tri_mode_ethernet_mac_0_ten_100_1g_eth_fifo #
72
  (
73
    parameter FULL_DUPLEX_ONLY = 1
74
  )
75
 
76
  (
77
 
78
   input             tx_fifo_aclk,           // tx fifo clock
79
   input             tx_fifo_resetn,          // tx fifo clock synchronous reset
80
   // tx fifo AXI-Stream interface
81
   input    [7:0]    tx_axis_fifo_tdata,
82
   input             tx_axis_fifo_tvalid,
83
   input             tx_axis_fifo_tlast,
84
   output            tx_axis_fifo_tready,
85
 
86
   input             tx_mac_aclk,            // tx_mac clock
87
   input             tx_mac_resetn,           // tx mac clock synchronous reset
88
   // tx mac AXI-Stream interface
89
   output   [7:0]    tx_axis_mac_tdata,
90
   output            tx_axis_mac_tvalid,
91
   output            tx_axis_mac_tlast,
92
   input             tx_axis_mac_tready,
93
   output            tx_axis_mac_tuser,
94
   // tx FIFO status outputs
95
   output            tx_fifo_overflow,
96
   output   [3:0]    tx_fifo_status,
97
   // tx fifo duplex controls
98
   input             tx_collision,
99
   input             tx_retransmit,
100
 
101
   input             rx_fifo_aclk,           // rx fifo clock
102
   input             rx_fifo_resetn,          // rx fifo clock synchronous reset
103
   // rx fifo AXI-Stream interface
104
   output   [7:0]    rx_axis_fifo_tdata,
105
   output            rx_axis_fifo_tvalid,
106
   output            rx_axis_fifo_tlast,
107
   input             rx_axis_fifo_tready,
108
 
109
   input             rx_mac_aclk,            // rx mac clock
110
   input             rx_mac_resetn,           // rx mac clock synchronous reset
111
   // rx mac AXI-Stream interface
112
   input    [7:0]    rx_axis_mac_tdata,
113
   input             rx_axis_mac_tvalid,
114
   input             rx_axis_mac_tlast,
115
   input             rx_axis_mac_tuser,
116
   // rx fifo status outputs
117
   output   [3:0]    rx_fifo_status,
118
   output            rx_fifo_overflow
119
  );
120
 
121
 
122
 
123
  //----------------------------------------------------------------------------
124
  // Instantiate the Transmitter FIFO
125
  //----------------------------------------------------------------------------
126
  tri_mode_ethernet_mac_0_tx_client_fifo #
127
  (
128
    .FULL_DUPLEX_ONLY (FULL_DUPLEX_ONLY)
129
  )
130
  tx_fifo_i
131
  (
132
 
133
    .tx_fifo_aclk       (tx_fifo_aclk),
134
    .tx_fifo_resetn     (tx_fifo_resetn),
135
    .tx_axis_fifo_tdata (tx_axis_fifo_tdata),
136
    .tx_axis_fifo_tvalid (tx_axis_fifo_tvalid),
137
    .tx_axis_fifo_tlast (tx_axis_fifo_tlast),
138
    .tx_axis_fifo_tready (tx_axis_fifo_tready),
139
 
140
    .tx_mac_aclk        (tx_mac_aclk),
141
    .tx_mac_resetn      (tx_mac_resetn),
142
    .tx_axis_mac_tdata  (tx_axis_mac_tdata),
143
    .tx_axis_mac_tvalid (tx_axis_mac_tvalid),
144
    .tx_axis_mac_tlast  (tx_axis_mac_tlast),
145
    .tx_axis_mac_tready (tx_axis_mac_tready),
146
    .tx_axis_mac_tuser  (tx_axis_mac_tuser),
147
 
148
    .fifo_overflow      (tx_fifo_overflow),
149
    .fifo_status        (tx_fifo_status),
150
 
151
    .tx_collision       (tx_collision),
152
    .tx_retransmit      (tx_retransmit)
153
  );
154
 
155
 
156
  //----------------------------------------------------------------------------
157
  // Instantiate the Receiver FIFO
158
  //----------------------------------------------------------------------------
159
  tri_mode_ethernet_mac_0_rx_client_fifo rx_fifo_i
160
  (
161
    .rx_fifo_aclk       (rx_fifo_aclk),
162
    .rx_fifo_resetn     (rx_fifo_resetn),
163
    .rx_axis_fifo_tdata (rx_axis_fifo_tdata),
164
    .rx_axis_fifo_tvalid (rx_axis_fifo_tvalid),
165
    .rx_axis_fifo_tlast (rx_axis_fifo_tlast),
166
    .rx_axis_fifo_tready (rx_axis_fifo_tready),
167
 
168
    .rx_mac_aclk        (rx_mac_aclk),
169
    .rx_mac_resetn      (rx_mac_resetn),
170
    .rx_axis_mac_tdata  (rx_axis_mac_tdata),
171
    .rx_axis_mac_tvalid (rx_axis_mac_tvalid),
172
    .rx_axis_mac_tlast  (rx_axis_mac_tlast),
173
    .rx_axis_mac_tuser  (rx_axis_mac_tuser),
174
 
175
    .fifo_status        (rx_fifo_status),
176
    .fifo_overflow      (rx_fifo_overflow)
177
  );
178
 
179
 
180
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.