OpenCores
URL https://opencores.org/ocsvn/1g_ethernet_dpi/1g_ethernet_dpi/trunk

Subversion Repositories 1g_ethernet_dpi

[/] [1g_ethernet_dpi/] [tags/] [v0.0/] [hw/] [src/] [rtl/] [tri_mode_emac_support/] [tri_mode_ethernet_mac_0_clk_wiz.v] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 kuzmi4
// file: tri_mode_ethernet_mac_0_clk_wiz.v
2
//
3
// -----------------------------------------------------------------------------
4
// (c) Copyright 2008-2013 Xilinx, Inc. All rights reserved.
5
//
6
// This file contains confidential and proprietary information
7
// of Xilinx, Inc. and is protected under U.S. and
8
// international copyright and other intellectual property
9
// laws.
10
//
11
// DISCLAIMER
12
// This disclaimer is not a license and does not grant any
13
// rights to the materials distributed herewith. Except as
14
// otherwise provided in a valid license issued to you by
15
// Xilinx, and to the maximum extent permitted by applicable
16
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
17
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
18
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
19
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
20
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
21
// (2) Xilinx shall not be liable (whether in contract or tort,
22
// including negligence, or under any other theory of
23
// liability) for any loss or damage of any kind or nature
24
// related to, arising under or in connection with these
25
// materials, including for any direct, or any indirect,
26
// special, incidental, or consequential loss or damage
27
// (including loss of data, profits, goodwill, or any type of
28
// loss or damage suffered as a result of any action brought
29
// by a third party) even if such damage or loss was
30
// reasonably foreseeable or Xilinx had been advised of the
31
// possibility of the same.
32
//
33
// CRITICAL APPLICATIONS
34
// Xilinx products are not designed or intended to be fail-
35
// safe, or for use in any application requiring fail-safe
36
// performance, such as life-support or safety devices or
37
// systems, Class III medical devices, nuclear facilities,
38
// applications related to the deployment of airbags, or any
39
// other applications that could lead to death, personal
40
// injury, or severe property or environmental damage
41
// (individually and collectively, "Critical
42
// Applications"). Customer assumes the sole risk and
43
// liability of any use of Xilinx products in Critical
44
// Applications, subject only to applicable laws and
45
// regulations governing limitations on product liability.
46
//
47
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
48
// PART OF THIS FILE AT ALL TIMES. 
49
// -----------------------------------------------------------------------------
50
//----------------------------------------------------------------------------
51
// User entered comments
52
//----------------------------------------------------------------------------
53
// None
54
//
55
//----------------------------------------------------------------------------
56
// Output     Output      Phase    Duty Cycle   Pk-to-Pk     Phase
57
// Clock     Freq (MHz)  (degrees)    (%)     Jitter (ps)  Error (ps)
58
//----------------------------------------------------------------------------
59
// CLK_OUT1   125.000      0.000      50.0       91.364     85.928
60
// CLK_OUT2   100.000      0.000      50.0       70.716     85.928
61
 
62
// CLK_OUT2   200.000      0.000      50.0            
63
 
64
//
65
//----------------------------------------------------------------------------
66
// Input Clock   Input Freq (MHz)   Input Jitter (UI)
67
//----------------------------------------------------------------------------
68
// primary         200.000            0.010
69
 
70
`timescale 1ps/1ps
71
 
72
module tri_mode_ethernet_mac_0_clk_wiz
73
 (// Clock in ports
74
  input         CLK_IN1,
75
  // Clock out ports
76
  output        CLK_OUT1,
77
  output        CLK_OUT2,
78
  output        CLK_OUT3,
79
  // Status and control signals
80
  input         RESET,
81
  output        LOCKED
82
 );
83
 
84
  // Clocking primitive
85
  //------------------------------------
86
  // Instantiation of the MMCM primitive
87
  //    * Unused inputs are tied off
88
  //    * Unused outputs are labeled unused
89
  wire [15:0] do_unused;
90
  wire        drdy_unused;
91
  wire        psdone_unused;
92
  wire        clkfbout;
93
  wire        clkfboutb_unused;
94
  wire        clkout0b_unused;
95
  wire        clkout1b_unused;
96
  wire        clkout2b_unused;
97
  wire        clkout3_unused;
98
  wire        clkout3b_unused;
99
  wire        clkout4_unused;
100
  wire        clkout5_unused;
101
  wire        clkout6_unused;
102
  wire        clkfbstopped_unused;
103
  wire        clkinstopped_unused;
104
 
105
    MMCME2_ADV
106
 
107
  #(.BANDWIDTH            ("OPTIMIZED"),
108
    .COMPENSATION         ("ZHOLD"),
109
 
110
    .DIVCLK_DIVIDE        (1),
111
    .CLKFBOUT_MULT_F      (5.000),
112
    .CLKFBOUT_PHASE       (0.000),
113
    .CLKOUT0_DIVIDE_F     (8.000),
114
    .CLKOUT0_PHASE        (0.000),
115
    .CLKOUT0_DUTY_CYCLE   (0.500),
116
    .CLKOUT1_DIVIDE       (10),
117
    .CLKOUT1_PHASE        (0.000),
118
    .CLKOUT1_DUTY_CYCLE   (0.500),
119
 
120
    .CLKOUT2_DIVIDE       (5),
121
 
122
    .CLKOUT2_PHASE        (0.000),
123
    .CLKOUT2_DUTY_CYCLE   (0.500),
124
    .CLKIN1_PERIOD        (5.000),
125
    .REF_JITTER1          (0.010))
126
  mmcm_adv_inst
127
    // Output clocks
128
   (.CLKFBOUT            (clkfbout),
129
    .CLKFBOUTB           (clkfboutb_unused),
130
    .CLKOUT0             (clkout0),
131
    .CLKOUT0B            (clkout0b_unused),
132
    .CLKOUT1             (clkout1),
133
    .CLKOUT1B            (clkout1b_unused),
134
    .CLKOUT2             (clkout2),
135
    .CLKOUT2B            (clkout2b_unused),
136
    .CLKOUT3             (clkout3_unused),
137
    .CLKOUT3B            (clkout3b_unused),
138
    .CLKOUT4             (clkout4_unused),
139
    .CLKOUT5             (clkout5_unused),
140
    .CLKOUT6             (clkout6_unused),
141
     // Input clock control
142
    .CLKFBIN             (clkfbout),
143
    .CLKIN1              (CLK_IN1),
144
    .CLKIN2              (1'b0),
145
     // Tied to always select the primary input clock
146
    .CLKINSEL            (1'b1),
147
    // Ports for dynamic reconfiguration
148
    .DADDR               (7'h0),
149
    .DCLK                (1'b0),
150
    .DEN                 (1'b0),
151
    .DI                  (16'h0),
152
    .DO                  (do_unused),
153
    .DRDY                (drdy_unused),
154
    .DWE                 (1'b0),
155
    // Ports for dynamic phase shift
156
    .PSCLK               (1'b0),
157
    .PSEN                (1'b0),
158
    .PSINCDEC            (1'b0),
159
    .PSDONE              (psdone_unused),
160
 
161
    // Other control and status signals
162
    .LOCKED              (LOCKED),
163
    .CLKINSTOPPED        (clkinstopped_unused),
164
    .CLKFBSTOPPED        (clkfbstopped_unused),
165
    .PWRDWN              (1'b0),
166
    .RST                 (RESET));
167
 
168
  // Output buffering
169
  //-----------------------------------
170
 
171
  BUFGCE clkout1_buf
172
   (.O   (CLK_OUT1),
173
    .CE  (1'b1),
174
    .I   (clkout0));
175
 
176
  BUFGCE clkout2_buf
177
   (.O   (CLK_OUT2),
178
    .CE  (1'b1),
179
    .I   (clkout1));
180
 
181
  BUFGCE clkout3_buf
182
   (.O   (CLK_OUT3),
183
    .CE  (1'b1),
184
    .I   (clkout2));
185
 
186
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.