OpenCores
URL https://opencores.org/ocsvn/1g_ethernet_dpi/1g_ethernet_dpi/trunk

Subversion Repositories 1g_ethernet_dpi

[/] [1g_ethernet_dpi/] [tags/] [v0.0/] [hw/] [src/] [tc/] [testcase.sv] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 kuzmi4
//////////////////////////////////////////////////////////////////////////////////
2
// Company:
3
// Engineer:        IK
4
//
5
// Create Date:     11:35:01 03/21/2013
6
// Design Name:
7
// Module Name:     testcase
8
// Project Name:
9
// Target Devices:
10
// Tool versions:
11
// Description:
12
//
13
//
14
// Revision:
15
// Revision 0.01 - File Created,
16
//
17
//////////////////////////////////////////////////////////////////////////////////
18
`timescale 1ns / 1ps
19
 
20
module testcase;
21
//////////////////////////////////////////////////////////////////////////////////
22
 
23
//////////////////////////////////////////////////////////////////////////////////
24
//
25
// Instantiate TB
26
//
27
tb tb();
28
 
29
//////////////////////////////////////////////////////////////////////////////////
30
//
31
//
32
//
33
initial
34
begin   :   TC
35
    // init-msg
36
    $display("[%t]: %m: START", $time);
37
    // init-clr
38
    tb.dut_arst();
39
 
40
    // proc
41
    #500ms;
42
    // Final
43
    #1us;
44
    $display("[%t]: %m: STOP", $time);
45
    $finish;
46
end
47
//////////////////////////////////////////////////////////////////////////////////
48
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.