OpenCores
URL https://opencores.org/ocsvn/2d_game_console/2d_game_console/trunk

Subversion Repositories 2d_game_console

[/] [2d_game_console/] [trunk/] [Processor_ModelSim/] [IP_MULT.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 lucas.vbal
-- megafunction wizard: %LPM_MULT%
2
-- GENERATION: STANDARD
3
-- VERSION: WM1.0
4
-- MODULE: lpm_mult 
5
 
6
-- ============================================================
7
-- File Name: IP_MULT.vhd
8
-- Megafunction Name(s):
9
--                      lpm_mult
10
--
11
-- Simulation Library Files(s):
12
--                      lpm
13
-- ============================================================
14
-- ************************************************************
15
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
--
17
-- 17.0.0 Build 595 04/25/2017 SJ Lite Edition
18
-- ************************************************************
19
 
20
 
21
--Copyright (C) 2017  Intel Corporation. All rights reserved.
22
--Your use of Intel Corporation's design tools, logic functions 
23
--and other software and tools, and its AMPP partner logic 
24
--functions, and any output files from any of the foregoing 
25
--(including device programming or simulation files), and any 
26
--associated documentation or information are expressly subject 
27
--to the terms and conditions of the Intel Program License 
28
--Subscription Agreement, the Intel Quartus Prime License Agreement,
29
--the Intel MegaCore Function License Agreement, or other 
30
--applicable license agreement, including, without limitation, 
31
--that your use is for the sole purpose of programming logic 
32
--devices manufactured by Intel and sold by Intel or its 
33
--authorized distributors.  Please refer to the applicable 
34
--agreement for further details.
35
 
36
 
37
LIBRARY ieee;
38
USE ieee.std_logic_1164.all;
39
 
40
LIBRARY lpm;
41
USE lpm.all;
42
 
43
ENTITY IP_MULT IS
44
        PORT
45
        (
46
                clock           : IN STD_LOGIC ;
47
                dataa           : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
48
                datab           : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
49
                result          : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
50
        );
51
END IP_MULT;
52
 
53
 
54
ARCHITECTURE SYN OF ip_mult IS
55
 
56
        SIGNAL sub_wire0        : STD_LOGIC_VECTOR (31 DOWNTO 0);
57
 
58
 
59
 
60
        COMPONENT lpm_mult
61
        GENERIC (
62
                lpm_hint                : STRING;
63
                lpm_pipeline            : NATURAL;
64
                lpm_representation              : STRING;
65
                lpm_type                : STRING;
66
                lpm_widtha              : NATURAL;
67
                lpm_widthb              : NATURAL;
68
                lpm_widthp              : NATURAL
69
        );
70
        PORT (
71
                        clock   : IN STD_LOGIC ;
72
                        dataa   : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
73
                        datab   : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
74
                        result  : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
75
        );
76
        END COMPONENT;
77
 
78
BEGIN
79
        result    <= sub_wire0(31 DOWNTO 0);
80
 
81
        lpm_mult_component : lpm_mult
82
        GENERIC MAP (
83
                lpm_hint => "MAXIMIZE_SPEED=5",
84
                lpm_pipeline => 1,
85
                lpm_representation => "SIGNED",
86
                lpm_type => "LPM_MULT",
87
                lpm_widtha => 16,
88
                lpm_widthb => 16,
89
                lpm_widthp => 32
90
        )
91
        PORT MAP (
92
                clock => clock,
93
                dataa => dataa,
94
                datab => datab,
95
                result => sub_wire0
96
        );
97
 
98
 
99
 
100
END SYN;
101
 
102
-- ============================================================
103
-- CNX file retrieval info
104
-- ============================================================
105
-- Retrieval info: PRIVATE: AutoSizeResult NUMERIC "1"
106
-- Retrieval info: PRIVATE: B_isConstant NUMERIC "0"
107
-- Retrieval info: PRIVATE: ConstantB NUMERIC "0"
108
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
109
-- Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "1"
110
-- Retrieval info: PRIVATE: Latency NUMERIC "1"
111
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
112
-- Retrieval info: PRIVATE: SignedMult NUMERIC "1"
113
-- Retrieval info: PRIVATE: USE_MULT NUMERIC "1"
114
-- Retrieval info: PRIVATE: ValidConstant NUMERIC "0"
115
-- Retrieval info: PRIVATE: WidthA NUMERIC "16"
116
-- Retrieval info: PRIVATE: WidthB NUMERIC "16"
117
-- Retrieval info: PRIVATE: WidthP NUMERIC "32"
118
-- Retrieval info: PRIVATE: aclr NUMERIC "0"
119
-- Retrieval info: PRIVATE: clken NUMERIC "0"
120
-- Retrieval info: PRIVATE: new_diagram STRING "1"
121
-- Retrieval info: PRIVATE: optimize NUMERIC "0"
122
-- Retrieval info: LIBRARY: lpm lpm.lpm_components.all
123
-- Retrieval info: CONSTANT: LPM_HINT STRING "MAXIMIZE_SPEED=5"
124
-- Retrieval info: CONSTANT: LPM_PIPELINE NUMERIC "1"
125
-- Retrieval info: CONSTANT: LPM_REPRESENTATION STRING "SIGNED"
126
-- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_MULT"
127
-- Retrieval info: CONSTANT: LPM_WIDTHA NUMERIC "16"
128
-- Retrieval info: CONSTANT: LPM_WIDTHB NUMERIC "16"
129
-- Retrieval info: CONSTANT: LPM_WIDTHP NUMERIC "32"
130
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL "clock"
131
-- Retrieval info: USED_PORT: dataa 0 0 16 0 INPUT NODEFVAL "dataa[15..0]"
132
-- Retrieval info: USED_PORT: datab 0 0 16 0 INPUT NODEFVAL "datab[15..0]"
133
-- Retrieval info: USED_PORT: result 0 0 32 0 OUTPUT NODEFVAL "result[31..0]"
134
-- Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
135
-- Retrieval info: CONNECT: @dataa 0 0 16 0 dataa 0 0 16 0
136
-- Retrieval info: CONNECT: @datab 0 0 16 0 datab 0 0 16 0
137
-- Retrieval info: CONNECT: result 0 0 32 0 @result 0 0 32 0
138
-- Retrieval info: GEN_FILE: TYPE_NORMAL IP_MULT.vhd TRUE
139
-- Retrieval info: GEN_FILE: TYPE_NORMAL IP_MULT.inc FALSE
140
-- Retrieval info: GEN_FILE: TYPE_NORMAL IP_MULT.cmp TRUE
141
-- Retrieval info: GEN_FILE: TYPE_NORMAL IP_MULT.bsf FALSE
142
-- Retrieval info: GEN_FILE: TYPE_NORMAL IP_MULT_inst.vhd FALSE
143
-- Retrieval info: LIB_FILE: lpm

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.