OpenCores
URL https://opencores.org/ocsvn/2d_game_console/2d_game_console/trunk

Subversion Repositories 2d_game_console

[/] [2d_game_console/] [trunk/] [Processor_ModelSim/] [Project_Testbench_Processor.mpf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 lucas.vbal
; Copyright 1991-2009 Mentor Graphics Corporation
2
;
3
; All Rights Reserved.
4
;
5
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
6
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
7
;
8
 
9
[Library]
10
std = $MODEL_TECH/../std
11
ieee = $MODEL_TECH/../ieee
12
verilog = $MODEL_TECH/../verilog
13
vital2000 = $MODEL_TECH/../vital2000
14
std_developerskit = $MODEL_TECH/../std_developerskit
15
synopsys = $MODEL_TECH/../synopsys
16
modelsim_lib = $MODEL_TECH/../modelsim_lib
17
sv_std = $MODEL_TECH/../sv_std
18
 
19
; Altera Primitive libraries
20
;
21
; VHDL Section
22
;
23
altera_mf = $MODEL_TECH/../altera/vhdl/altera_mf
24
altera = $MODEL_TECH/../altera/vhdl/altera
25
altera_lnsim = $MODEL_TECH/../altera/vhdl/altera_lnsim
26
lpm = $MODEL_TECH/../altera/vhdl/220model
27
220model = $MODEL_TECH/../altera/vhdl/220model
28
maxii = $MODEL_TECH/../altera/vhdl/maxii
29
maxv = $MODEL_TECH/../altera/vhdl/maxv
30
fiftyfivenm = $MODEL_TECH/../altera/vhdl/fiftyfivenm
31
sgate = $MODEL_TECH/../altera/vhdl/sgate
32
arriaii = $MODEL_TECH/../altera/vhdl/arriaii
33
arriaii_hssi = $MODEL_TECH/../altera/vhdl/arriaii_hssi
34
arriaii_pcie_hip = $MODEL_TECH/../altera/vhdl/arriaii_pcie_hip
35
arriaiigz = $MODEL_TECH/../altera/vhdl/arriaiigz
36
arriaiigz_hssi = $MODEL_TECH/../altera/vhdl/arriaiigz_hssi
37
arriaiigz_pcie_hip = $MODEL_TECH/../altera/vhdl/arriaiigz_pcie_hip
38
stratixiv = $MODEL_TECH/../altera/vhdl/stratixiv
39
stratixiv_hssi = $MODEL_TECH/../altera/vhdl/stratixiv_hssi
40
stratixiv_pcie_hip = $MODEL_TECH/../altera/vhdl/stratixiv_pcie_hip
41
cycloneiv = $MODEL_TECH/../altera/vhdl/cycloneiv
42
cycloneiv_hssi = $MODEL_TECH/../altera/vhdl/cycloneiv_hssi
43
cycloneiv_pcie_hip = $MODEL_TECH/../altera/vhdl/cycloneiv_pcie_hip
44
cycloneive = $MODEL_TECH/../altera/vhdl/cycloneive
45
stratixv = $MODEL_TECH/../altera/vhdl/stratixv
46
stratixv_hssi = $MODEL_TECH/../altera/vhdl/stratixv_hssi
47
stratixv_pcie_hip = $MODEL_TECH/../altera/vhdl/stratixv_pcie_hip
48
arriavgz = $MODEL_TECH/../altera/vhdl/arriavgz
49
arriavgz_hssi = $MODEL_TECH/../altera/vhdl/arriavgz_hssi
50
arriavgz_pcie_hip = $MODEL_TECH/../altera/vhdl/arriavgz_pcie_hip
51
arriav = $MODEL_TECH/../altera/vhdl/arriav
52
cyclonev = $MODEL_TECH/../altera/vhdl/cyclonev
53
twentynm = $MODEL_TECH/../altera/vhdl/twentynm
54
twentynm_hssi = $MODEL_TECH/../altera/vhdl/twentynm_hssi
55
twentynm_hip = $MODEL_TECH/../altera/vhdl/twentynm_hip
56
cyclone10lp = $MODEL_TECH/../altera/vhdl/cyclone10lp
57
;
58
; Verilog Section
59
;
60
altera_mf_ver = $MODEL_TECH/../altera/verilog/altera_mf
61
altera_ver = $MODEL_TECH/../altera/verilog/altera
62
altera_lnsim_ver = $MODEL_TECH/../altera/verilog/altera_lnsim
63
lpm_ver = $MODEL_TECH/../altera/verilog/220model
64
220model_ver = $MODEL_TECH/../altera/verilog/220model
65
maxii_ver = $MODEL_TECH/../altera/verilog/maxii
66
maxv_ver = $MODEL_TECH/../altera/verilog/maxv
67
fiftyfivenm_ver = $MODEL_TECH/../altera/verilog/fiftyfivenm
68
sgate_ver = $MODEL_TECH/../altera/verilog/sgate
69
arriaii_ver = $MODEL_TECH/../altera/verilog/arriaii
70
arriaii_hssi_ver = $MODEL_TECH/../altera/verilog/arriaii_hssi
71
arriaii_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriaii_pcie_hip
72
arriaiigz_ver = $MODEL_TECH/../altera/verilog/arriaiigz
73
arriaiigz_hssi_ver = $MODEL_TECH/../altera/verilog/arriaiigz_hssi
74
arriaiigz_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriaiigz_pcie_hip
75
stratixiv_ver = $MODEL_TECH/../altera/verilog/stratixiv
76
stratixiv_hssi_ver = $MODEL_TECH/../altera/verilog/stratixiv_hssi
77
stratixiv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/stratixiv_pcie_hip
78
stratixv_ver = $MODEL_TECH/../altera/verilog/stratixv
79
stratixv_hssi_ver = $MODEL_TECH/../altera/verilog/stratixv_hssi
80
stratixv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/stratixv_pcie_hip
81
arriavgz_ver = $MODEL_TECH/../altera/verilog/arriavgz
82
arriavgz_hssi_ver = $MODEL_TECH/../altera/verilog/arriavgz_hssi
83
arriavgz_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriavgz_pcie_hip
84
arriav_ver = $MODEL_TECH/../altera/verilog/arriav
85
arriav_hssi_ver = $MODEL_TECH/../altera/verilog/arriav_hssi
86
arriav_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriav_pcie_hip
87
cyclonev_ver = $MODEL_TECH/../altera/verilog/cyclonev
88
cyclonev_hssi_ver = $MODEL_TECH/../altera/verilog/cyclonev_hssi
89
cyclonev_pcie_hip_ver = $MODEL_TECH/../altera/verilog/cyclonev_pcie_hip
90
cycloneiv_ver = $MODEL_TECH/../altera/verilog/cycloneiv
91
cycloneiv_hssi_ver = $MODEL_TECH/../altera/verilog/cycloneiv_hssi
92
cycloneiv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/cycloneiv_pcie_hip
93
cycloneive_ver = $MODEL_TECH/../altera/verilog/cycloneive
94
twentynm_ver = $MODEL_TECH/../altera/verilog/twentynm
95
twentynm_hssi_ver = $MODEL_TECH/../altera/verilog/twentynm_hssi
96
twentynm_hip_ver = $MODEL_TECH/../altera/verilog/twentynm_hip
97
cyclone10lp_ver = $MODEL_TECH/../altera/verilog/cyclone10lp
98
 
99
work = work
100
[vcom]
101
; VHDL93 variable selects language version as the default.
102
; Default is VHDL-2002.
103
; Value of 0 or 1987 for VHDL-1987.
104
; Value of 1 or 1993 for VHDL-1993.
105
; Default or value of 2 or 2002 for VHDL-2002.
106
; Default or value of 3 or 2008 for VHDL-2008.
107
VHDL93 = 2002
108
 
109
; Show source line containing error. Default is off.
110
; Show_source = 1
111
 
112
; Turn off unbound-component warnings. Default is on.
113
; Show_Warning1 = 0
114
 
115
; Turn off process-without-a-wait-statement warnings. Default is on.
116
; Show_Warning2 = 0
117
 
118
; Turn off null-range warnings. Default is on.
119
; Show_Warning3 = 0
120
 
121
; Turn off no-space-in-time-literal warnings. Default is on.
122
; Show_Warning4 = 0
123
 
124
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
125
; Show_Warning5 = 0
126
 
127
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
128
; Optimize_1164 = 0
129
 
130
; Turn on resolving of ambiguous function overloading in favor of the
131
; "explicit" function declaration (not the one automatically created by
132
; the compiler for each type declaration). Default is off.
133
; The .ini file has Explicit enabled so that std_logic_signed/unsigned
134
; will match the behavior of synthesis tools.
135
Explicit = 1
136
 
137
; Turn off acceleration of the VITAL packages. Default is to accelerate.
138
; NoVital = 1
139
 
140
; Turn off VITAL compliance checking. Default is checking on.
141
; NoVitalCheck = 1
142
 
143
; Ignore VITAL compliance checking errors. Default is to not ignore.
144
; IgnoreVitalErrors = 1
145
 
146
; Turn off VITAL compliance checking warnings. Default is to show warnings.
147
; Show_VitalChecksWarnings = 0
148
 
149
; Keep silent about case statement static warnings.
150
; Default is to give a warning.
151
; NoCaseStaticError = 1
152
 
153
; Keep silent about warnings caused by aggregates that are not locally static.
154
; Default is to give a warning.
155
; NoOthersStaticError = 1
156
 
157
; Turn off inclusion of debugging info within design units.
158
; Default is to include debugging info.
159
; NoDebug = 1
160
 
161
; Turn off "Loading..." messages. Default is messages on.
162
; Quiet = 1
163
 
164
; Turn on some limited synthesis rule compliance checking. Checks only:
165
;    -- signals used (read) by a process must be in the sensitivity list
166
; CheckSynthesis = 1
167
 
168
; Activate optimizations on expressions that do not involve signals,
169
; waits, or function/procedure/task invocations. Default is off.
170
; ScalarOpts = 1
171
 
172
; Require the user to specify a configuration for all bindings,
173
; and do not generate a compile time default binding for the
174
; component. This will result in an elaboration error of
175
; 'component not bound' if the user fails to do so. Avoids the rare
176
; issue of a false dependency upon the unused default binding.
177
; RequireConfigForAllDefaultBinding = 1
178
 
179
; Inhibit range checking on subscripts of arrays. Range checking on
180
; scalars defined with subtypes is inhibited by default.
181
; NoIndexCheck = 1
182
 
183
; Inhibit range checks on all (implicit and explicit) assignments to
184
; scalar objects defined with subtypes.
185
; NoRangeCheck = 1
186
 
187
[vlog]
188
 
189
; Turn off inclusion of debugging info within design units.
190
; Default is to include debugging info.
191
; NoDebug = 1
192
 
193
; Turn off "loading..." messages. Default is messages on.
194
; Quiet = 1
195
 
196
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
197
; Default is off.
198
; Hazard = 1
199
 
200
; Turn on converting regular Verilog identifiers to uppercase. Allows case
201
; insensitivity for module names. Default is no conversion.
202
; UpCase = 1
203
 
204
; Turn on incremental compilation of modules. Default is off.
205
; Incremental = 1
206
 
207
; Turns on lint-style checking.
208
; Show_Lint = 1
209
 
210
[vsim]
211
; Simulator resolution
212
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
213
Resolution = ps
214
 
215
; User time unit for run commands
216
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
217
; unit specified for Resolution. For example, if Resolution is 100ps,
218
; then UserTimeUnit defaults to ps.
219
; Should generally be set to default.
220
UserTimeUnit = default
221
 
222
; Default run length
223
RunLength = 0 ns
224
 
225
; Maximum iterations that can be run without advancing simulation time
226
IterationLimit = 5000
227
 
228
; Directive to license manager:
229
; vhdl          Immediately reserve a VHDL license
230
; vlog          Immediately reserve a Verilog license
231
; plus          Immediately reserve a VHDL and Verilog license
232
; nomgc         Do not look for Mentor Graphics Licenses
233
; nomti         Do not look for Model Technology Licenses
234
; noqueue       Do not wait in the license queue when a license isn't available
235
; viewsim       Try for viewer license but accept simulator license(s) instead
236
;               of queuing for viewer license
237
; License = plus
238
 
239
; Stop the simulator after a VHDL/Verilog assertion message
240
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
241
BreakOnAssertion = 3
242
 
243
; Assertion Message Format
244
; %S - Severity Level
245
; %R - Report Message
246
; %T - Time of assertion
247
; %D - Delta
248
; %I - Instance or Region pathname (if available)
249
; %% - print '%' character
250
; AssertionFormat = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
251
 
252
; Assertion File - alternate file for storing VHDL/Verilog assertion messages
253
; AssertFile = assert.log
254
 
255
; Default radix for all windows and commands...
256
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
257
DefaultRadix = symbolic
258
 
259
; VSIM Startup command
260
; Startup = do startup.do
261
 
262
; File for saving command transcript
263
TranscriptFile = transcript
264
 
265
; File for saving command history
266
; CommandHistory = cmdhist.log
267
 
268
; Specify whether paths in simulator commands should be described
269
; in VHDL or Verilog format.
270
; For VHDL, PathSeparator = /
271
; For Verilog, PathSeparator = .
272
; Must not be the same character as DatasetSeparator.
273
PathSeparator = /
274
 
275
; Specify the dataset separator for fully rooted contexts.
276
; The default is ':'. For example, sim:/top
277
; Must not be the same character as PathSeparator.
278
DatasetSeparator = :
279
 
280
; Disable VHDL assertion messages
281
; IgnoreNote = 1
282
; IgnoreWarning = 1
283
; IgnoreError = 1
284
; IgnoreFailure = 1
285
 
286
; Default force kind. May be freeze, drive, deposit, or default
287
; or in other terms, fixed, wired, or charged.
288
; A value of "default" will use the signal kind to determine the
289
; force kind, drive for resolved signals, freeze for unresolved signals
290
; DefaultForceKind = freeze
291
 
292
; If zero, open files when elaborated; otherwise, open files on
293
; first read or write.  Default is 0.
294
; DelayFileOpen = 1
295
 
296
; Control VHDL files opened for write.
297
;   0 = Buffered, 1 = Unbuffered
298
UnbufferedOutput = 0
299
 
300
; Control the number of VHDL files open concurrently.
301
; This number should always be less than the current ulimit
302
; setting for max file descriptors.
303
;   0 = unlimited
304
ConcurrentFileLimit = 40
305
 
306
; Control the number of hierarchical regions displayed as
307
; part of a signal name shown in the Wave window.
308
; A value of zero tells VSIM to display the full name.
309
; The default is 0.
310
; WaveSignalNameWidth = 0
311
 
312
; Turn off warnings from the std_logic_arith, std_logic_unsigned
313
; and std_logic_signed packages.
314
StdArithNoWarnings = 1
315
 
316
; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
317
; NumericStdNoWarnings = 1
318
 
319
; Control the format of the (VHDL) FOR generate statement label
320
; for each iteration.  Do not quote it.
321
; The format string here must contain the conversion codes %s and %d,
322
; in that order, and no other conversion codes.  The %s represents
323
; the generate_label; the %d represents the generate parameter value
324
; at a particular generate iteration (this is the position number if
325
; the generate parameter is of an enumeration type).  Embedded whitespace
326
; is allowed (but discouraged); leading and trailing whitespace is ignored.
327
; Application of the format must result in a unique scope name over all
328
; such names in the design so that name lookup can function properly.
329
; GenerateFormat = %s__%d
330
 
331
; Specify whether checkpoint files should be compressed.
332
; The default is 1 (compressed).
333
; CheckpointCompressMode = 0
334
 
335
; List of dynamically loaded objects for Verilog PLI applications
336
; Veriuser = veriuser.sl
337
 
338
; Specify default options for the restart command. Options can be one
339
; or more of: -force -nobreakpoint -nolist -nolog -nowave
340
; DefaultRestartOptions = -force
341
 
342
; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs
343
; (> 500 megabyte memory footprint). Default is disabled.
344
; Specify number of megabytes to lock.
345
; LockedMemory = 1000
346
 
347
; Turn on (1) or off (0) WLF file compression.
348
; The default is 1 (compress WLF file).
349
; WLFCompress = 0
350
 
351
; Specify whether to save all design hierarchy (1) in the WLF file
352
; or only regions containing logged signals (0).
353
; The default is 0 (save only regions with logged signals).
354
; WLFSaveAllRegions = 1
355
 
356
; WLF file time limit.  Limit WLF file by time, as closely as possible,
357
; to the specified amount of simulation time.  When the limit is exceeded
358
; the earliest times get truncated from the file.
359
; If both time and size limits are specified the most restrictive is used.
360
; UserTimeUnits are used if time units are not specified.
361
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
362
; WLFTimeLimit = 0
363
 
364
; WLF file size limit.  Limit WLF file size, as closely as possible,
365
; to the specified number of megabytes.  If both time and size limits
366
; are specified then the most restrictive is used.
367
; The default is 0 (no limit).
368
; WLFSizeLimit = 1000
369
 
370
; Specify whether or not a WLF file should be deleted when the
371
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
372
; The default is 0 (do not delete WLF file when simulation ends).
373
; WLFDeleteOnQuit = 1
374
 
375
; Automatic SDF compilation
376
; Disables automatic compilation of SDF files in flows that support it.
377
; Default is on, uncomment to turn off.
378
; NoAutoSDFCompile = 1
379
 
380
[lmc]
381
 
382
[msg_system]
383
; Change a message severity or suppress a message.
384
; The format is:  = [,...]
385
; Examples:
386
;   note = 3009
387
;   warning = 3033
388
;   error = 3010,3016
389
;   fatal = 3016,3033
390
;   suppress = 3009,3016,3043
391
; The command verror  can be used to get the complete
392
; description of a message.
393
 
394
; Control transcripting of elaboration/runtime messages.
395
; The default is to have messages appear in the transcript and
396
; recorded in the wlf file (messages that are recorded in the
397
; wlf file can be viewed in the MsgViewer).  The other settings
398
; are to send messages only to the transcript or only to the
399
; wlf file.  The valid values are
400
;    both  {default}
401
;    tran  {transcript only}
402
;    wlf   {wlf file only}
403
; msgmode = both
404
[Project]
405
; Warning -- Do not edit the project properties directly.
406
;            Property names are dynamic in nature and property
407
;            values have special syntax.  Changing property data directly
408
;            can result in a corrupt MPF file.  All project properties
409
;            can be modified through project window dialogs.
410
Project_Version = 6
411
Project_DefaultLib = work
412
Project_SortMethod = unused
413
Project_Files_Count = 19
414
Project_File_0 = C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/IP_SUB.vhd
415
Project_File_P_0 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1520455019 vhdl_disableopt 0 vhdl_vital 0 cover_excludedefault 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 cover_covercells 0 vhdl_0InOptions {} vhdl_warn4 1 voptflow 1 cover_optlevel 3 vhdl_options {} vhdl_warn5 1 toggle - ood 0 cover_noshort 0 compile_to work compile_order 10 cover_nosub 0 dont_compile 0 vhdl_use93 2002
416
Project_File_1 = C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Reset_Synchronizer.v
417
Project_File_P_1 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 1526602542 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 14 cover_expr 0 dont_compile 0 cover_stmt 0
418
Project_File_2 = C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v
419
Project_File_P_2 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1531703328 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 12 cover_expr 0 dont_compile 0 cover_stmt 0
420
Project_File_3 = C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Memory_Arbiter.v
421
Project_File_P_3 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 1525139685 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 11 cover_expr 0 dont_compile 0 cover_stmt 0
422
Project_File_4 = C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/IP_ROM_Program.vhd
423
Project_File_P_4 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1525012912 vhdl_disableopt 0 vhdl_vital 0 cover_excludedefault 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 cover_covercells 0 vhdl_0InOptions {} vhdl_warn4 1 voptflow 1 cover_optlevel 3 vhdl_options {} vhdl_warn5 1 toggle - ood 0 cover_noshort 0 compile_to work compile_order 9 cover_nosub 0 dont_compile 0 vhdl_use93 2002
424
Project_File_5 = C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/IP_DIVIDE.vhd
425
Project_File_P_5 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1530386675 vhdl_disableopt 0 vhdl_vital 0 cover_excludedefault 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 cover_covercells 0 vhdl_0InOptions {} vhdl_warn4 1 voptflow 1 cover_optlevel 3 vhdl_options {} vhdl_warn5 1 toggle - ood 0 cover_noshort 0 compile_to work compile_order 5 cover_nosub 0 dont_compile 0 vhdl_use93 2002
426
Project_File_6 = C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/SRAM_Interface.v
427
Project_File_P_6 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 1530377394 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 17 cover_expr 0 dont_compile 0 cover_stmt 0
428
Project_File_7 = C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor_Controller.v
429
Project_File_P_7 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 1526427782 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 13 cover_expr 0 dont_compile 0 cover_stmt 0
430
Project_File_8 = C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/IP_PLL.vhd
431
Project_File_P_8 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1525568790 vhdl_disableopt 0 vhdl_vital 0 cover_excludedefault 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 cover_covercells 0 vhdl_0InOptions {} vhdl_warn4 1 voptflow 1 cover_optlevel 3 vhdl_options {} vhdl_warn5 1 toggle - ood 0 cover_noshort 0 compile_to work compile_order 7 cover_nosub 0 dont_compile 0 vhdl_use93 2002
432
Project_File_9 = C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/IP_ADD.vhd
433
Project_File_P_9 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1520454852 vhdl_disableopt 0 vhdl_vital 0 cover_excludedefault 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 cover_covercells 0 vhdl_0InOptions {} vhdl_warn4 1 voptflow 1 cover_optlevel 3 vhdl_options {} vhdl_warn5 1 toggle - ood 0 cover_noshort 0 compile_to work compile_order 3 cover_nosub 0 dont_compile 0 vhdl_use93 2002
434
Project_File_10 = C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/VGA_Interface.v
435
Project_File_P_10 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 1531700427 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 18 cover_expr 0 dont_compile 0 cover_stmt 0
436
Project_File_11 = C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Sprite_Processor.v
437
Project_File_P_11 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 1528680799 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 15 cover_expr 0 dont_compile 0 cover_stmt 0
438
Project_File_12 = C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/IP_MULT.vhd
439
Project_File_P_12 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1522805773 vhdl_disableopt 0 vhdl_vital 0 cover_excludedefault 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 cover_covercells 0 vhdl_0InOptions {} vhdl_warn4 1 voptflow 1 cover_optlevel 3 vhdl_options {} vhdl_warn5 1 toggle - ood 0 cover_noshort 0 compile_to work compile_order 6 cover_nosub 0 dont_compile 0 vhdl_use93 2002
440
Project_File_13 = C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Genesis_6button_Interface.v
441
Project_File_P_13 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 1530371484 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 1 cover_expr 0 dont_compile 0 cover_stmt 0
442
Project_File_14 = C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Interrupt_Controller.v
443
Project_File_P_14 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 1525207608 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 2 cover_expr 0 dont_compile 0 cover_stmt 0
444
Project_File_15 = C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Sprite_Shape_Reader.v
445
Project_File_P_15 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 1526530167 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 16 cover_expr 0 dont_compile 0 cover_stmt 0
446
Project_File_16 = C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/IP_COMPARE.vhd
447
Project_File_P_16 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1520474075 vhdl_disableopt 0 vhdl_vital 0 cover_excludedefault 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 cover_covercells 0 vhdl_0InOptions {} vhdl_warn4 1 voptflow 1 cover_optlevel 3 vhdl_options {} vhdl_warn5 1 toggle - ood 0 cover_noshort 0 compile_to work compile_order 4 cover_nosub 0 dont_compile 0 vhdl_use93 2002
448
Project_File_17 = C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/IP_RAM_Data.vhd
449
Project_File_P_17 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1526428777 vhdl_disableopt 0 vhdl_vital 0 cover_excludedefault 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 cover_covercells 0 vhdl_0InOptions {} vhdl_warn4 1 voptflow 1 cover_optlevel 3 vhdl_options {} vhdl_warn5 1 toggle - ood 0 cover_noshort 0 compile_to work compile_order 8 cover_nosub 0 dont_compile 0 vhdl_use93 2002
450
Project_File_18 = C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v
451
Project_File_P_18 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1531706166 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 0 cover_expr 0 dont_compile 0 cover_stmt 0
452
Project_Sim_Count = 0
453
Project_Folder_Count = 0
454
Echo_Compile_Output = 0
455
Save_Compile_Report = 1
456
Project_Opt_Count = 0
457
ForceSoftPaths = 0
458
ProjectStatusDelay = 5000
459
VERILOG_DoubleClick = Edit
460
VERILOG_CustomDoubleClick =
461
SYSTEMVERILOG_DoubleClick = Edit
462
SYSTEMVERILOG_CustomDoubleClick =
463
VHDL_DoubleClick = Edit
464
VHDL_CustomDoubleClick =
465
PSL_DoubleClick = Edit
466
PSL_CustomDoubleClick =
467
TEXT_DoubleClick = Edit
468
TEXT_CustomDoubleClick =
469
SYSTEMC_DoubleClick = Edit
470
SYSTEMC_CustomDoubleClick =
471
TCL_DoubleClick = Edit
472
TCL_CustomDoubleClick =
473
MACRO_DoubleClick = Edit
474
MACRO_CustomDoubleClick =
475
VCD_DoubleClick = Edit
476
VCD_CustomDoubleClick =
477
SDF_DoubleClick = Edit
478
SDF_CustomDoubleClick =
479
XML_DoubleClick = Edit
480
XML_CustomDoubleClick =
481
LOGFILE_DoubleClick = Edit
482
LOGFILE_CustomDoubleClick =
483
UCDB_DoubleClick = Edit
484
UCDB_CustomDoubleClick =
485
TDB_DoubleClick = Edit
486
TDB_CustomDoubleClick =
487
UPF_DoubleClick = Edit
488
UPF_CustomDoubleClick =
489
PCF_DoubleClick = Edit
490
PCF_CustomDoubleClick =
491
PROJECT_DoubleClick = Edit
492
PROJECT_CustomDoubleClick =
493
VRM_DoubleClick = Edit
494
VRM_CustomDoubleClick =
495
DEBUGDATABASE_DoubleClick = Edit
496
DEBUGDATABASE_CustomDoubleClick =
497
DEBUGARCHIVE_DoubleClick = Edit
498
DEBUGARCHIVE_CustomDoubleClick =
499
Project_Major_Version = 10
500
Project_Minor_Version = 5

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.