OpenCores
URL https://opencores.org/ocsvn/2d_game_console/2d_game_console/trunk

Subversion Repositories 2d_game_console

[/] [2d_game_console/] [trunk/] [Processor_ModelSim/] [transcript] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 lucas.vbal
# Reading C:/intelFPGA/17.0/modelsim_ase/tcl/vsim/pref.tcl
2
# OpenFile C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v
3
# Loading project Project_Testbench_Processor
4
# Compile of VGA_Interface.v was successful.
5
# Compile of Interrupt_Controller.v was successful.
6
# Compile of IP_ADD.vhd was successful.
7
# Compile of IP_COMPARE.vhd was successful.
8
# Compile of IP_DIVIDE.vhd was successful.
9
# Compile of IP_MULT.vhd was successful.
10
# Compile of IP_PLL.vhd was successful.
11
# Compile of IP_RAM_Data.vhd was successful.
12
# Compile of IP_ROM_Program.vhd was successful.
13
# Compile of IP_SUB.vhd was successful.
14
# Compile of Memory_Arbiter.v was successful.
15
# Compile of Processor.v failed with 1 errors.
16
# Compile of Processor_Controller.v was successful.
17
# Compile of Sprite_Processor.v was successful.
18
# Compile of Sprite_Shape_Reader.v was successful.
19
# Compile of TB_Processor.v was successful.
20
# 16 compiles, 4 failed with 1 error.
21
# Compile of TB_Processor.v was successful.
22
# Compile of Genesis_6button_Interface.v was successful.
23
# Compile of Interrupt_Controller.v was successful.
24
# Compile of IP_ADD.vhd was successful.
25
# Compile of IP_COMPARE.vhd was successful.
26
# Compile of IP_DIVIDE.vhd was successful.
27
# Compile of IP_MULT.vhd was successful.
28
# Compile of IP_PLL.vhd was successful.
29
# Compile of IP_RAM_Data.vhd was successful.
30
# Compile of IP_ROM_Program.vhd was successful.
31
# Compile of IP_SUB.vhd was successful.
32
# Compile of Memory_Arbiter.v was successful.
33
# Compile of Processor.v failed with 1 errors.
34
# Compile of Processor_Controller.v was successful.
35
# Compile of Reset_Synchronizer.v was successful.
36
# Compile of Sprite_Processor.v was successful.
37
# Compile of Sprite_Shape_Reader.v was successful.
38
# Compile of SRAM_Interface.v was successful.
39
# Compile of VGA_Interface.v was successful.
40
# 19 compiles, 1 failed with 1 error.
41
# Compile of TB_Processor.v was successful.
42
# Compile of Genesis_6button_Interface.v was successful.
43
# Compile of Interrupt_Controller.v was successful.
44
# Compile of IP_ADD.vhd was successful.
45
# Compile of IP_COMPARE.vhd was successful.
46
# Compile of IP_DIVIDE.vhd was successful.
47
# Compile of IP_MULT.vhd was successful.
48
# Compile of IP_PLL.vhd was successful.
49
# Compile of IP_RAM_Data.vhd was successful.
50
# Compile of IP_ROM_Program.vhd was successful.
51
# Compile of IP_SUB.vhd was successful.
52
# Compile of Memory_Arbiter.v was successful.
53
# Compile of Processor.v failed with 1 errors.
54
# Compile of Processor_Controller.v was successful.
55
# Compile of Reset_Synchronizer.v was successful.
56
# Compile of Sprite_Processor.v was successful.
57
# Compile of Sprite_Shape_Reader.v was successful.
58
# Compile of SRAM_Interface.v was successful.
59
# Compile of VGA_Interface.v was successful.
60
# 19 compiles, 1 failed with 1 error.
61
# Error opening C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/(vlog-13069) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v
62
# Path name 'C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/(vlog-13069) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v' doesn't exist.
63
# Compile of TB_Processor.v was successful.
64
# Compile of Genesis_6button_Interface.v was successful.
65
# Compile of Interrupt_Controller.v was successful.
66
# Compile of IP_ADD.vhd was successful.
67
# Compile of IP_COMPARE.vhd was successful.
68
# Compile of IP_DIVIDE.vhd was successful.
69
# Compile of IP_MULT.vhd was successful.
70
# Compile of IP_PLL.vhd was successful.
71
# Compile of IP_RAM_Data.vhd was successful.
72
# Compile of IP_ROM_Program.vhd was successful.
73
# Compile of IP_SUB.vhd was successful.
74
# Compile of Memory_Arbiter.v was successful.
75
# Compile of Processor.v was successful.
76
# Compile of Processor_Controller.v was successful.
77
# Compile of Reset_Synchronizer.v was successful.
78
# Compile of Sprite_Processor.v was successful.
79
# Compile of Sprite_Shape_Reader.v was successful.
80
# Compile of SRAM_Interface.v was successful.
81
# Compile of VGA_Interface.v was successful.
82
# 19 compiles, 0 failed with no errors.
83
# Compile of TB_Processor.v was successful.
84
# Compile of Genesis_6button_Interface.v was successful.
85
# Compile of Interrupt_Controller.v was successful.
86
# Compile of IP_ADD.vhd was successful.
87
# Compile of IP_COMPARE.vhd was successful.
88
# Compile of IP_DIVIDE.vhd was successful.
89
# Compile of IP_MULT.vhd was successful.
90
# Compile of IP_PLL.vhd was successful.
91
# Compile of IP_RAM_Data.vhd was successful.
92
# Compile of IP_ROM_Program.vhd was successful.
93
# Compile of IP_SUB.vhd was successful.
94
# Compile of Memory_Arbiter.v was successful.
95
# Compile of Processor.v was successful.
96
# Compile of Processor_Controller.v was successful.
97
# Compile of Reset_Synchronizer.v was successful.
98
# Compile of Sprite_Processor.v was successful.
99
# Compile of Sprite_Shape_Reader.v was successful.
100
# Compile of SRAM_Interface.v was successful.
101
# Compile of VGA_Interface.v was successful.
102
# 19 compiles, 0 failed with no errors.
103
vsim -gui work.TB_Processor
104
# vsim -gui work.TB_Processor
105
# Start time: 22:27:25 on Jul 15,2018
106
# Loading work.TB_Processor
107
# Loading work.Processor
108
# Loading work.Sprite_Processor
109
# Loading work.Memory_Arbiter
110
# Loading work.SRAM_Interface
111
# Loading work.Interrupt_Controller
112
# Loading work.Processor_Controller
113
# Loading work.Sprite_Shape_Reader
114
# Loading work.Genesis_6button_Interface
115
# Loading work.Reset_Synchronizer
116
# Loading work.VGA_Interface
117
# Loading std.standard
118
# Loading std.textio(body)
119
# Loading ieee.std_logic_1164(body)
120
# Loading altera_mf.altera_mf_components
121
# Loading work.ip_rom_program(syn)
122
# Loading ieee.std_logic_arith(body)
123
# Loading ieee.std_logic_unsigned(body)
124
# Loading altera_mf.altera_common_conversion(body)
125
# Loading altera_mf.altera_device_families(body)
126
# Loading altera_mf.altsyncram(translated)
127
# Loading work.ip_pll(syn)
128
# Loading altera_mf.mf_pllpack(body)
129
# Loading altera_mf.altpll(behavior)
130
# Loading altera_mf.mf_cycloneiii_pll(vital_pll)
131
# ** Warning: (vsim-3479) Time unit 'ps' is less than the simulator resolution (1ns).
132
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst17/altpll_component/CYCLONEIII_ALTPLL/M5
133
# Loading altera_mf.mf_cda_mn_cntr(behave)
134
# Loading altera_mf.mf_cda_scale_cntr(behave)
135
# Loading work.ip_mult(syn)
136
# Loading lpm.lpm_components
137
# Loading lpm.lpm_hint_evaluation(body)
138
# Loading lpm.lpm_mult(lpm_syn)
139
# Loading work.ip_ram_data(syn)
140
# Loading work.ip_add(syn)
141
# Loading ieee.std_logic_signed(body)
142
# Loading lpm.lpm_add_sub(lpm_syn)
143
# Loading lpm.lpm_add_sub_signed(lpm_syn)
144
# Loading work.ip_sub(syn)
145
# Loading work.ip_divide(syn)
146
# Loading lpm.lpm_divide(behave)
147
# Loading work.ip_compare(syn)
148
# Loading lpm.lpm_compare(lpm_syn)
149
# Loading lpm.lpm_compare_signed(lpm_syn)
150
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Too few port connections. Expected 122, found 104.
151
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v
152
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_DQ'.
153
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_UB_N'.
154
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_LB_N'.
155
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_CE_N'.
156
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_OE_N'.
157
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_WE_N'.
158
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_up'.
159
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_down'.
160
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_left'.
161
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_right'.
162
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_b'.
163
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_c'.
164
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_a'.
165
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_start'.
166
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_z'.
167
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_y'.
168
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_x'.
169
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_ADDR'.
170
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Too few port connections. Expected 47, found 25.
171
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst10 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Memory_Arbiter.v
172
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_7'.
173
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_7'.
174
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_7'.
175
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_7'.
176
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_6'.
177
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_6'.
178
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_6'.
179
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_6'.
180
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_5'.
181
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_5'.
182
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_5'.
183
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_5'.
184
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_4'.
185
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_4'.
186
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_4'.
187
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_4'.
188
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_2'.
189
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_0'.
190
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_7'.
191
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_6'.
192
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_5'.
193
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_4'.
194
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Too few port connections. Expected 21, found 15.
195
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst12 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Interrupt_Controller.v
196
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_req_1'.
197
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_req_2'.
198
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_req_3'.
199
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_ack_1'.
200
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_ack_2'.
201
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_ack_3'.
202
# ** Warning: (vsim-8822) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(518): [TFMPC] - Missing Verilog connection for formal VHDL port 'c0'.
203
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst17 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/IP_PLL.vhd
204
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(648): [TFMPC] - Too few port connections. Expected 21, found 19.
205
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst21 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Sprite_Shape_Reader.v
206
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(648): [TFMPC] - Missing connection for port 'line_A_shape'.
207
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(648): [TFMPC] - Missing connection for port 'line_B_shape'.
208
# ** Warning: Design size of 19477 statements exceeds ModelSim-Intel FPGA Starter Edition recommended capacity.
209
# Expect performance to be adversely affected.
210
run -all
211
#
212
#
213
# CPU SLEEP OFF!!
214
#
215
#
216
# Success!!
217
#
218
#
219
# CPU SLEEP ON!!
220
restart
221
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Too few port connections. Expected 122, found 104.
222
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v
223
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_DQ'.
224
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_UB_N'.
225
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_LB_N'.
226
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_CE_N'.
227
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_OE_N'.
228
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_WE_N'.
229
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_up'.
230
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_down'.
231
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_left'.
232
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_right'.
233
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_b'.
234
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_c'.
235
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_a'.
236
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_start'.
237
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_z'.
238
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_y'.
239
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_x'.
240
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_ADDR'.
241
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Too few port connections. Expected 47, found 25.
242
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst10 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Memory_Arbiter.v
243
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_7'.
244
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_7'.
245
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_7'.
246
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_7'.
247
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_6'.
248
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_6'.
249
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_6'.
250
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_6'.
251
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_5'.
252
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_5'.
253
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_5'.
254
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_5'.
255
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_4'.
256
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_4'.
257
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_4'.
258
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_4'.
259
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_2'.
260
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_0'.
261
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_7'.
262
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_6'.
263
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_5'.
264
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_4'.
265
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Too few port connections. Expected 21, found 15.
266
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst12 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Interrupt_Controller.v
267
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_req_1'.
268
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_req_2'.
269
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_req_3'.
270
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_ack_1'.
271
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_ack_2'.
272
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_ack_3'.
273
# ** Warning: (vsim-8822) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(518): [TFMPC] - Missing Verilog connection for formal VHDL port 'c0'.
274
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst17 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/IP_PLL.vhd
275
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(648): [TFMPC] - Too few port connections. Expected 21, found 19.
276
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst21 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Sprite_Shape_Reader.v
277
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(648): [TFMPC] - Missing connection for port 'line_A_shape'.
278
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(648): [TFMPC] - Missing connection for port 'line_B_shape'.
279
run -all
280
#
281
#
282
# CPU SLEEP OFF!!
283
#
284
#
285
# Success!!
286
#
287
#
288
# CPU SLEEP ON!!
289
#
290
#
291
# CPU SLEEP OFF!!
292
#
293
#
294
# Success!!
295
#
296
# Interrupt!!
297
#
298
# Interrupt Return!!
299
#
300
#
301
# Success!!
302
#
303
#
304
# Success!!
305
#
306
#
307
# CPU SLEEP ON!!
308
#
309
#
310
# CPU SLEEP OFF!!
311
#
312
#
313
# Success!!
314
#
315
# Interrupt!!
316
#
317
# Interrupt Return!!
318
#
319
#
320
# Success!!
321
#
322
#
323
# CPU SLEEP ON!!
324
#
325
#
326
# CPU SLEEP OFF!!
327
#
328
#
329
# Success!!
330
#
331
# Interrupt!!
332
#
333
# Interrupt Return!!
334
#
335
#
336
# Success!!
337
#
338
#
339
# Success!!
340
#
341
#
342
# CPU SLEEP ON!!
343
#
344
#
345
# CPU SLEEP OFF!!
346
#
347
#
348
# Success!!
349
#
350
# Interrupt!!
351
#
352
# Interrupt Return!!
353
#
354
#
355
# Success!!
356
#
357
#
358
# CPU SLEEP ON!!
359
#
360
#
361
# STOP!!
362
# ** Note: $stop    : C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(654)
363
#    Time: 80 ms  Iteration: 0  Instance: /TB_Processor
364
# Break in Module TB_Processor at C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v line 654
365
quit -sim
366
# End time: 22:52:08 on Jul 15,2018, Elapsed time: 0:24:43
367
# Errors: 0, Warnings: 108
368
# Compile of TB_Processor.v was successful.
369
# Compile of Genesis_6button_Interface.v was successful.
370
# Compile of Interrupt_Controller.v was successful.
371
# Compile of IP_ADD.vhd was successful.
372
# Compile of IP_COMPARE.vhd was successful.
373
# Compile of IP_DIVIDE.vhd was successful.
374
# Compile of IP_MULT.vhd was successful.
375
# Compile of IP_PLL.vhd was successful.
376
# Compile of IP_RAM_Data.vhd was successful.
377
# Compile of IP_ROM_Program.vhd was successful.
378
# Compile of IP_SUB.vhd was successful.
379
# Compile of Memory_Arbiter.v was successful.
380
# Compile of Processor.v was successful.
381
# Compile of Processor_Controller.v was successful.
382
# Compile of Reset_Synchronizer.v was successful.
383
# Compile of Sprite_Processor.v was successful.
384
# Compile of Sprite_Shape_Reader.v was successful.
385
# Compile of SRAM_Interface.v was successful.
386
# Compile of VGA_Interface.v was successful.
387
# 19 compiles, 0 failed with no errors.
388
vsim -gui work.TB_Processor
389
# vsim -gui work.TB_Processor
390
# Start time: 22:56:23 on Jul 15,2018
391
# Loading work.TB_Processor
392
# Loading work.Processor
393
# Loading work.Sprite_Processor
394
# Loading work.Memory_Arbiter
395
# Loading work.SRAM_Interface
396
# Loading work.Interrupt_Controller
397
# Loading work.Processor_Controller
398
# Loading work.Sprite_Shape_Reader
399
# Loading work.Genesis_6button_Interface
400
# Loading work.Reset_Synchronizer
401
# Loading work.VGA_Interface
402
# Loading std.standard
403
# Loading std.textio(body)
404
# Loading ieee.std_logic_1164(body)
405
# Loading altera_mf.altera_mf_components
406
# Loading work.ip_rom_program(syn)
407
# Loading ieee.std_logic_arith(body)
408
# Loading ieee.std_logic_unsigned(body)
409
# Loading altera_mf.altera_common_conversion(body)
410
# Loading altera_mf.altera_device_families(body)
411
# Loading altera_mf.altsyncram(translated)
412
# Loading work.ip_pll(syn)
413
# Loading altera_mf.mf_pllpack(body)
414
# Loading altera_mf.altpll(behavior)
415
# Loading altera_mf.mf_cycloneiii_pll(vital_pll)
416
# ** Warning: (vsim-3479) Time unit 'ps' is less than the simulator resolution (1ns).
417
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst17/altpll_component/CYCLONEIII_ALTPLL/M5
418
# Loading altera_mf.mf_cda_mn_cntr(behave)
419
# Loading altera_mf.mf_cda_scale_cntr(behave)
420
# Loading work.ip_mult(syn)
421
# Loading lpm.lpm_components
422
# Loading lpm.lpm_hint_evaluation(body)
423
# Loading lpm.lpm_mult(lpm_syn)
424
# Loading work.ip_ram_data(syn)
425
# Loading work.ip_add(syn)
426
# Loading ieee.std_logic_signed(body)
427
# Loading lpm.lpm_add_sub(lpm_syn)
428
# Loading lpm.lpm_add_sub_signed(lpm_syn)
429
# Loading work.ip_sub(syn)
430
# Loading work.ip_divide(syn)
431
# Loading lpm.lpm_divide(behave)
432
# Loading work.ip_compare(syn)
433
# Loading lpm.lpm_compare(lpm_syn)
434
# Loading lpm.lpm_compare_signed(lpm_syn)
435
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Too few port connections. Expected 122, found 104.
436
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v
437
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_DQ'.
438
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_UB_N'.
439
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_LB_N'.
440
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_CE_N'.
441
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_OE_N'.
442
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_WE_N'.
443
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_up'.
444
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_down'.
445
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_left'.
446
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_right'.
447
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_b'.
448
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_c'.
449
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_a'.
450
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_start'.
451
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_z'.
452
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_y'.
453
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_x'.
454
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_ADDR'.
455
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Too few port connections. Expected 47, found 25.
456
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst10 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Memory_Arbiter.v
457
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_7'.
458
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_7'.
459
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_7'.
460
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_7'.
461
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_6'.
462
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_6'.
463
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_6'.
464
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_6'.
465
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_5'.
466
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_5'.
467
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_5'.
468
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_5'.
469
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_4'.
470
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_4'.
471
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_4'.
472
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_4'.
473
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_2'.
474
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_0'.
475
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_7'.
476
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_6'.
477
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_5'.
478
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_4'.
479
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Too few port connections. Expected 21, found 15.
480
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst12 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Interrupt_Controller.v
481
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_req_1'.
482
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_req_2'.
483
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_req_3'.
484
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_ack_1'.
485
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_ack_2'.
486
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_ack_3'.
487
# ** Warning: (vsim-8822) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(518): [TFMPC] - Missing Verilog connection for formal VHDL port 'c0'.
488
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst17 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/IP_PLL.vhd
489
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(648): [TFMPC] - Too few port connections. Expected 21, found 19.
490
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst21 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Sprite_Shape_Reader.v
491
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(648): [TFMPC] - Missing connection for port 'line_A_shape'.
492
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(648): [TFMPC] - Missing connection for port 'line_B_shape'.
493
# ** Warning: Design size of 19477 statements exceeds ModelSim-Intel FPGA Starter Edition recommended capacity.
494
# Expect performance to be adversely affected.
495
run -all
496
#
497
#
498
# CPU SLEEP OFF!!
499
#
500
#
501
# Success!!
502
#
503
#
504
# CPU SLEEP ON!!
505
restart
506
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Too few port connections. Expected 122, found 104.
507
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v
508
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_DQ'.
509
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_UB_N'.
510
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_LB_N'.
511
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_CE_N'.
512
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_OE_N'.
513
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_WE_N'.
514
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_up'.
515
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_down'.
516
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_left'.
517
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_right'.
518
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_b'.
519
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_c'.
520
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_a'.
521
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_start'.
522
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_z'.
523
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_y'.
524
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'button_x'.
525
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(138): [TFMPC] - Missing connection for port 'SRAM_ADDR'.
526
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Too few port connections. Expected 47, found 25.
527
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst10 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Memory_Arbiter.v
528
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_7'.
529
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_7'.
530
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_7'.
531
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_7'.
532
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_6'.
533
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_6'.
534
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_6'.
535
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_6'.
536
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_5'.
537
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_5'.
538
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_5'.
539
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_5'.
540
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'addr_4'.
541
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_4'.
542
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'wren_4'.
543
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'req_4'.
544
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_2'.
545
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'data_0'.
546
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_7'.
547
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_6'.
548
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_5'.
549
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(408): [TFMPC] - Missing connection for port 'grant_4'.
550
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Too few port connections. Expected 21, found 15.
551
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst12 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Interrupt_Controller.v
552
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_req_1'.
553
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_req_2'.
554
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_req_3'.
555
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_ack_1'.
556
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_ack_2'.
557
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(481): [TFMPC] - Missing connection for port 'int_ack_3'.
558
# ** Warning: (vsim-8822) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(518): [TFMPC] - Missing Verilog connection for formal VHDL port 'c0'.
559
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst17 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/IP_PLL.vhd
560
# ** Warning: (vsim-3017) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(648): [TFMPC] - Too few port connections. Expected 21, found 19.
561
#    Time: 0 ns  Iteration: 0  Instance: /TB_Processor/DUV/b2v_inst21 File: C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Sprite_Shape_Reader.v
562
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(648): [TFMPC] - Missing connection for port 'line_A_shape'.
563
# ** Warning: (vsim-3722) C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/Processor.v(648): [TFMPC] - Missing connection for port 'line_B_shape'.
564
run -all
565
#
566
#
567
# CPU SLEEP OFF!!
568
#
569
#
570
# Success!!
571
#
572
#
573
# CPU SLEEP ON!!
574
#
575
#
576
# CPU SLEEP OFF!!
577
#
578
#
579
# Success!!
580
#
581
# Interrupt!!
582
#
583
# Interrupt Return!!
584
#
585
#
586
# Success!!
587
#
588
#
589
# Success!!
590
#
591
#
592
# CPU SLEEP ON!!
593
#
594
#
595
# CPU SLEEP OFF!!
596
#
597
#
598
# Success!!
599
#
600
# Interrupt!!
601
#
602
# Interrupt Return!!
603
#
604
#
605
# Success!!
606
#
607
#
608
# CPU SLEEP ON!!
609
#
610
#
611
# CPU SLEEP OFF!!
612
#
613
#
614
# Success!!
615
#
616
# Interrupt!!
617
#
618
# Interrupt Return!!
619
#
620
#
621
# Success!!
622
#
623
#
624
# Success!!
625
#
626
#
627
# CPU SLEEP ON!!
628
#
629
#
630
# CPU SLEEP OFF!!
631
#
632
#
633
# Success!!
634
#
635
# Interrupt!!
636
#
637
# Interrupt Return!!
638
#
639
#
640
# Success!!
641
#
642
#
643
# CPU SLEEP ON!!
644
#
645
#
646
# STOP!!
647
# ** Note: $stop    : C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v(622)
648
#    Time: 80 ms  Iteration: 0  Instance: /TB_Processor
649
# Break in Module TB_Processor at C:/Users/Lucas/Desktop/TCC/Processor_ModelSim/TB_Processor.v line 622
650
# End time: 23:33:13 on Jul 15,2018, Elapsed time: 0:36:50
651
# Errors: 0, Warnings: 108

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.