OpenCores
URL https://opencores.org/ocsvn/2d_game_console/2d_game_console/trunk

Subversion Repositories 2d_game_console

[/] [2d_game_console/] [trunk/] [Processor_Quartus/] [IP_ADD.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 lucas.vbal
-- megafunction wizard: %LPM_ADD_SUB%
2
-- GENERATION: STANDARD
3
-- VERSION: WM1.0
4
-- MODULE: LPM_ADD_SUB 
5
 
6
-- ============================================================
7
-- File Name: IP_ADD.vhd
8
-- Megafunction Name(s):
9
--                      LPM_ADD_SUB
10
--
11
-- Simulation Library Files(s):
12
--                      lpm
13
-- ============================================================
14
-- ************************************************************
15
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
--
17
-- 17.0.0 Build 595 04/25/2017 SJ Lite Edition
18
-- ************************************************************
19
 
20
 
21
--Copyright (C) 2017  Intel Corporation. All rights reserved.
22
--Your use of Intel Corporation's design tools, logic functions 
23
--and other software and tools, and its AMPP partner logic 
24
--functions, and any output files from any of the foregoing 
25
--(including device programming or simulation files), and any 
26
--associated documentation or information are expressly subject 
27
--to the terms and conditions of the Intel Program License 
28
--Subscription Agreement, the Intel Quartus Prime License Agreement,
29
--the Intel MegaCore Function License Agreement, or other 
30
--applicable license agreement, including, without limitation, 
31
--that your use is for the sole purpose of programming logic 
32
--devices manufactured by Intel and sold by Intel or its 
33
--authorized distributors.  Please refer to the applicable 
34
--agreement for further details.
35
 
36
 
37
LIBRARY ieee;
38
USE ieee.std_logic_1164.all;
39
 
40
LIBRARY lpm;
41
USE lpm.all;
42
 
43
ENTITY IP_ADD IS
44
        PORT
45
        (
46
                clock           : IN STD_LOGIC ;
47
                dataa           : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
48
                datab           : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
49
                overflow                : OUT STD_LOGIC ;
50
                result          : OUT STD_LOGIC_VECTOR (15 DOWNTO 0)
51
        );
52
END IP_ADD;
53
 
54
 
55
ARCHITECTURE SYN OF ip_add IS
56
 
57
        SIGNAL sub_wire0        : STD_LOGIC ;
58
        SIGNAL sub_wire1        : STD_LOGIC_VECTOR (15 DOWNTO 0);
59
 
60
 
61
 
62
        COMPONENT lpm_add_sub
63
        GENERIC (
64
                lpm_direction           : STRING;
65
                lpm_hint                : STRING;
66
                lpm_pipeline            : NATURAL;
67
                lpm_representation              : STRING;
68
                lpm_type                : STRING;
69
                lpm_width               : NATURAL
70
        );
71
        PORT (
72
                        clock   : IN STD_LOGIC ;
73
                        dataa   : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
74
                        datab   : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
75
                        overflow        : OUT STD_LOGIC ;
76
                        result  : OUT STD_LOGIC_VECTOR (15 DOWNTO 0)
77
        );
78
        END COMPONENT;
79
 
80
BEGIN
81
        overflow    <= sub_wire0;
82
        result    <= sub_wire1(15 DOWNTO 0);
83
 
84
        LPM_ADD_SUB_component : LPM_ADD_SUB
85
        GENERIC MAP (
86
                lpm_direction => "ADD",
87
                lpm_hint => "ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO",
88
                lpm_pipeline => 1,
89
                lpm_representation => "SIGNED",
90
                lpm_type => "LPM_ADD_SUB",
91
                lpm_width => 16
92
        )
93
        PORT MAP (
94
                clock => clock,
95
                dataa => dataa,
96
                datab => datab,
97
                overflow => sub_wire0,
98
                result => sub_wire1
99
        );
100
 
101
 
102
 
103
END SYN;
104
 
105
-- ============================================================
106
-- CNX file retrieval info
107
-- ============================================================
108
-- Retrieval info: PRIVATE: CarryIn NUMERIC "0"
109
-- Retrieval info: PRIVATE: CarryOut NUMERIC "0"
110
-- Retrieval info: PRIVATE: ConstantA NUMERIC "0"
111
-- Retrieval info: PRIVATE: ConstantB NUMERIC "0"
112
-- Retrieval info: PRIVATE: Function NUMERIC "0"
113
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
114
-- Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "1"
115
-- Retrieval info: PRIVATE: Latency NUMERIC "1"
116
-- Retrieval info: PRIVATE: Overflow NUMERIC "1"
117
-- Retrieval info: PRIVATE: RadixA NUMERIC "10"
118
-- Retrieval info: PRIVATE: RadixB NUMERIC "10"
119
-- Retrieval info: PRIVATE: Representation NUMERIC "0"
120
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
121
-- Retrieval info: PRIVATE: ValidCtA NUMERIC "0"
122
-- Retrieval info: PRIVATE: ValidCtB NUMERIC "0"
123
-- Retrieval info: PRIVATE: WhichConstant NUMERIC "0"
124
-- Retrieval info: PRIVATE: aclr NUMERIC "0"
125
-- Retrieval info: PRIVATE: clken NUMERIC "0"
126
-- Retrieval info: PRIVATE: nBit NUMERIC "16"
127
-- Retrieval info: PRIVATE: new_diagram STRING "1"
128
-- Retrieval info: LIBRARY: lpm lpm.lpm_components.all
129
-- Retrieval info: CONSTANT: LPM_DIRECTION STRING "ADD"
130
-- Retrieval info: CONSTANT: LPM_HINT STRING "ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO"
131
-- Retrieval info: CONSTANT: LPM_PIPELINE NUMERIC "1"
132
-- Retrieval info: CONSTANT: LPM_REPRESENTATION STRING "SIGNED"
133
-- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_ADD_SUB"
134
-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "16"
135
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL "clock"
136
-- Retrieval info: USED_PORT: dataa 0 0 16 0 INPUT NODEFVAL "dataa[15..0]"
137
-- Retrieval info: USED_PORT: datab 0 0 16 0 INPUT NODEFVAL "datab[15..0]"
138
-- Retrieval info: USED_PORT: overflow 0 0 0 0 OUTPUT NODEFVAL "overflow"
139
-- Retrieval info: USED_PORT: result 0 0 16 0 OUTPUT NODEFVAL "result[15..0]"
140
-- Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
141
-- Retrieval info: CONNECT: @dataa 0 0 16 0 dataa 0 0 16 0
142
-- Retrieval info: CONNECT: @datab 0 0 16 0 datab 0 0 16 0
143
-- Retrieval info: CONNECT: overflow 0 0 0 0 @overflow 0 0 0 0
144
-- Retrieval info: CONNECT: result 0 0 16 0 @result 0 0 16 0
145
-- Retrieval info: GEN_FILE: TYPE_NORMAL IP_ADD.vhd TRUE
146
-- Retrieval info: GEN_FILE: TYPE_NORMAL IP_ADD.inc FALSE
147
-- Retrieval info: GEN_FILE: TYPE_NORMAL IP_ADD.cmp TRUE
148
-- Retrieval info: GEN_FILE: TYPE_NORMAL IP_ADD.bsf TRUE
149
-- Retrieval info: GEN_FILE: TYPE_NORMAL IP_ADD_inst.vhd FALSE
150
-- Retrieval info: LIB_FILE: lpm

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.