OpenCores
URL https://opencores.org/ocsvn/2d_game_console/2d_game_console/trunk

Subversion Repositories 2d_game_console

[/] [2d_game_console/] [trunk/] [Processor_Quartus/] [Processor.qsf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 lucas.vbal
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 2017  Intel Corporation. All rights reserved.
4
# Your use of Intel Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Intel Program License
10
# Subscription Agreement, the Intel Quartus Prime License Agreement,
11
# the Intel MegaCore Function License Agreement, or other
12
# applicable license agreement, including, without limitation,
13
# that your use is for the sole purpose of programming logic
14
# devices manufactured by Intel and sold by Intel or its
15
# authorized distributors.  Please refer to the applicable
16
# agreement for further details.
17
#
18
# -------------------------------------------------------------------------- #
19
#
20
# Quartus Prime
21
# Version 17.0.0 Build 595 04/25/2017 SJ Lite Edition
22
# Date created = 20:24:18  February 23, 2018
23
#
24
# -------------------------------------------------------------------------- #
25
#
26
# Notes:
27
#
28
# 1) The default values for assignments are stored in the file:
29
#               Processor_assignment_defaults.qdf
30
#    If this file doesn't exist, see file:
31
#               assignment_defaults.qdf
32
#
33
# 2) Altera recommends that you do not modify this file. This
34
#    file is updated automatically by the Quartus Prime software
35
#    and any changes you make may be lost or overwritten.
36
#
37
# -------------------------------------------------------------------------- #
38
 
39
 
40
set_global_assignment -name FAMILY "Cyclone IV E"
41
set_global_assignment -name DEVICE EP4CE115F29C7
42
set_global_assignment -name TOP_LEVEL_ENTITY Processor
43
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 17.0.0
44
set_global_assignment -name PROJECT_CREATION_TIME_DATE "20:24:18  FEBRUARY 23, 2018"
45
set_global_assignment -name LAST_QUARTUS_VERSION "17.0.0 Lite Edition"
46
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
47
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
48
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
49
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
50
set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V
51
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)"
52
set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation
53
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
54
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
55
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
56
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
57
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
58
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
59
set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS ON
60
set_global_assignment -name SMART_RECOMPILE ON
61
set_instance_assignment -name VIRTUAL_PIN ON -to add_result
62
set_instance_assignment -name VIRTUAL_PIN ON -to arbiter_addr
63
set_instance_assignment -name VIRTUAL_PIN ON -to arbiter_current_state
64
set_instance_assignment -name VIRTUAL_PIN ON -to arbiter_data
65
set_instance_assignment -name VIRTUAL_PIN ON -to arbiter_grant_1
66
set_instance_assignment -name VIRTUAL_PIN ON -to arbiter_grant_2
67
set_instance_assignment -name VIRTUAL_PIN ON -to arbiter_grant_3
68
set_instance_assignment -name VIRTUAL_PIN ON -to arbiter_next_state
69
set_instance_assignment -name VIRTUAL_PIN ON -to arbiter_wren
70
set_instance_assignment -name VIRTUAL_PIN ON -to compare_aeb
71
set_instance_assignment -name VIRTUAL_PIN ON -to compare_agb
72
set_instance_assignment -name VIRTUAL_PIN ON -to compare_alb
73
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_const_bool
74
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_current_state
75
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_imm
76
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_int_ack
77
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_next_state
78
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_opcode
79
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_program_counter
80
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_ram_addr
81
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_ram_data
82
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_ram_req
83
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_ram_wren
84
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_reg_a_num
85
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_reg_a_val
86
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_reg_b_num
87
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_reg_b_val
88
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_reg_c_num
89
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_reg_c_val
90
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_registers
91
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_rflags
92
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_rflags_index
93
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_rom_addr
94
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_sprite_color
95
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_sprite_id
96
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_sprite_level
97
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_sprite_x
98
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_sprite_y
99
set_instance_assignment -name VIRTUAL_PIN ON -to divide_quotient
100
set_instance_assignment -name VIRTUAL_PIN ON -to divide_remain
101
set_instance_assignment -name VIRTUAL_PIN ON -to mult_result
102
set_instance_assignment -name VIRTUAL_PIN ON -to pic_cpu_req
103
set_instance_assignment -name VIRTUAL_PIN ON -to pic_current_state
104
set_instance_assignment -name VIRTUAL_PIN ON -to pic_int_ack_0
105
set_instance_assignment -name VIRTUAL_PIN ON -to pic_int_mask
106
set_instance_assignment -name VIRTUAL_PIN ON -to pic_isr_addr
107
set_instance_assignment -name VIRTUAL_PIN ON -to pic_mem_addr
108
set_instance_assignment -name VIRTUAL_PIN ON -to pic_mem_req
109
set_instance_assignment -name VIRTUAL_PIN ON -to pic_mem_wren
110
set_instance_assignment -name VIRTUAL_PIN ON -to pic_next_state
111
set_instance_assignment -name VIRTUAL_PIN ON -to ram_q
112
set_instance_assignment -name VIRTUAL_PIN ON -to rom_q
113
set_instance_assignment -name VIRTUAL_PIN ON -to sub_overflow
114
set_instance_assignment -name VIRTUAL_PIN ON -to sub_result
115
set_instance_assignment -name VIRTUAL_PIN ON -to add_overflow
116
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_v_sync_flag
117
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_pc_stack
118
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_pc_stack_val
119
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_stack_pointer
120
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_int_program_counter
121
set_instance_assignment -name VIRTUAL_PIN ON -to VGA_h_pos
122
set_instance_assignment -name VIRTUAL_PIN ON -to VGA_oAddress
123
set_instance_assignment -name VIRTUAL_PIN ON -to VGA_v_pos
124
set_instance_assignment -name VIRTUAL_PIN ON -to six_button_int_req
125
set_instance_assignment -name VIRTUAL_PIN ON -to six_button_mem_req
126
set_instance_assignment -name VIRTUAL_PIN ON -to six_button_mem_wren
127
set_instance_assignment -name VIRTUAL_PIN ON -to six_button_v_sync_flag
128
set_instance_assignment -name VIRTUAL_PIN ON -to six_button_buttons
129
set_instance_assignment -name VIRTUAL_PIN ON -to six_button_counter
130
set_instance_assignment -name VIRTUAL_PIN ON -to six_button_current_state
131
set_instance_assignment -name VIRTUAL_PIN ON -to six_button_mem_addr
132
set_instance_assignment -name VIRTUAL_PIN ON -to six_button_mem_data
133
set_instance_assignment -name VIRTUAL_PIN ON -to six_button_next_state
134
set_instance_assignment -name VIRTUAL_PIN ON -to arbiter_grant_0
135
set_instance_assignment -name VIRTUAL_PIN ON -to sprite_reader_cpu_sleep
136
set_instance_assignment -name VIRTUAL_PIN ON -to sprite_reader_line_flag
137
set_instance_assignment -name VIRTUAL_PIN ON -to sprite_reader_mem_req
138
set_instance_assignment -name VIRTUAL_PIN ON -to sprite_reader_mem_wren
139
set_instance_assignment -name VIRTUAL_PIN ON -to testbench_PLL_clock
140
set_instance_assignment -name VIRTUAL_PIN ON -to testbench_vsync
141
set_instance_assignment -name VIRTUAL_PIN ON -to cpu_int_rflags
142
set_instance_assignment -name VIRTUAL_PIN ON -to sprite_reader_EstadoAtual
143
set_instance_assignment -name VIRTUAL_PIN ON -to sprite_reader_EstadoFuturo
144
set_instance_assignment -name VIRTUAL_PIN ON -to sprite_reader_level_counter
145
set_instance_assignment -name VIRTUAL_PIN ON -to sprite_reader_level_sprite_id
146
set_instance_assignment -name VIRTUAL_PIN ON -to sprite_reader_level_sprite_y
147
set_instance_assignment -name VIRTUAL_PIN ON -to sprite_reader_mem_addr
148
set_location_assignment PIN_AB7 -to SRAM_ADDR[0]
149
set_location_assignment PIN_AD7 -to SRAM_ADDR[1]
150
set_location_assignment PIN_AE7 -to SRAM_ADDR[2]
151
set_location_assignment PIN_AC7 -to SRAM_ADDR[3]
152
set_location_assignment PIN_AB6 -to SRAM_ADDR[4]
153
set_location_assignment PIN_AE6 -to SRAM_ADDR[5]
154
set_location_assignment PIN_AB5 -to SRAM_ADDR[6]
155
set_location_assignment PIN_AC5 -to SRAM_ADDR[7]
156
set_location_assignment PIN_AF5 -to SRAM_ADDR[8]
157
set_location_assignment PIN_T7 -to SRAM_ADDR[9]
158
set_location_assignment PIN_AF2 -to SRAM_ADDR[10]
159
set_location_assignment PIN_AD3 -to SRAM_ADDR[11]
160
set_location_assignment PIN_AB4 -to SRAM_ADDR[12]
161
set_location_assignment PIN_AC3 -to SRAM_ADDR[13]
162
set_location_assignment PIN_AA4 -to SRAM_ADDR[14]
163
set_location_assignment PIN_AB11 -to SRAM_ADDR[15]
164
set_location_assignment PIN_AC11 -to SRAM_ADDR[16]
165
set_location_assignment PIN_AB9 -to SRAM_ADDR[17]
166
set_location_assignment PIN_AB8 -to SRAM_ADDR[18]
167
set_location_assignment PIN_T8 -to SRAM_ADDR[19]
168
set_location_assignment PIN_AF8 -to SRAM_CE_N
169
set_location_assignment PIN_AH3 -to SRAM_DQ[0]
170
set_location_assignment PIN_AF4 -to SRAM_DQ[1]
171
set_location_assignment PIN_AG4 -to SRAM_DQ[2]
172
set_location_assignment PIN_AH4 -to SRAM_DQ[3]
173
set_location_assignment PIN_AF6 -to SRAM_DQ[4]
174
set_location_assignment PIN_AG6 -to SRAM_DQ[5]
175
set_location_assignment PIN_AH6 -to SRAM_DQ[6]
176
set_location_assignment PIN_AF7 -to SRAM_DQ[7]
177
set_location_assignment PIN_AD1 -to SRAM_DQ[8]
178
set_location_assignment PIN_AD2 -to SRAM_DQ[9]
179
set_location_assignment PIN_AE2 -to SRAM_DQ[10]
180
set_location_assignment PIN_AE1 -to SRAM_DQ[11]
181
set_location_assignment PIN_AE3 -to SRAM_DQ[12]
182
set_location_assignment PIN_AE4 -to SRAM_DQ[13]
183
set_location_assignment PIN_AF3 -to SRAM_DQ[14]
184
set_location_assignment PIN_AG3 -to SRAM_DQ[15]
185
set_location_assignment PIN_AD4 -to SRAM_LB_N
186
set_location_assignment PIN_AD5 -to SRAM_OE_N
187
set_location_assignment PIN_AC4 -to SRAM_UB_N
188
set_location_assignment PIN_AE8 -to SRAM_WE_N
189
set_location_assignment PIN_B10 -to VGA_B[0]
190
set_location_assignment PIN_A10 -to VGA_B[1]
191
set_location_assignment PIN_C11 -to VGA_B[2]
192
set_location_assignment PIN_B11 -to VGA_B[3]
193
set_location_assignment PIN_A11 -to VGA_B[4]
194
set_location_assignment PIN_C12 -to VGA_B[5]
195
set_location_assignment PIN_D11 -to VGA_B[6]
196
set_location_assignment PIN_D12 -to VGA_B[7]
197
set_location_assignment PIN_G8 -to VGA_G[0]
198
set_location_assignment PIN_G11 -to VGA_G[1]
199
set_location_assignment PIN_F8 -to VGA_G[2]
200
set_location_assignment PIN_H12 -to VGA_G[3]
201
set_location_assignment PIN_C8 -to VGA_G[4]
202
set_location_assignment PIN_B8 -to VGA_G[5]
203
set_location_assignment PIN_F10 -to VGA_G[6]
204
set_location_assignment PIN_C9 -to VGA_G[7]
205
set_location_assignment PIN_E12 -to VGA_R[0]
206
set_location_assignment PIN_E11 -to VGA_R[1]
207
set_location_assignment PIN_D10 -to VGA_R[2]
208
set_location_assignment PIN_F12 -to VGA_R[3]
209
set_location_assignment PIN_G10 -to VGA_R[4]
210
set_location_assignment PIN_J12 -to VGA_R[5]
211
set_location_assignment PIN_H8 -to VGA_R[6]
212
set_location_assignment PIN_H10 -to VGA_R[7]
213
set_location_assignment PIN_G13 -to VGA_HS
214
set_location_assignment PIN_A12 -to VGA_CLK
215
set_location_assignment PIN_F11 -to VGA_BLANK
216
set_location_assignment PIN_C13 -to VGA_VS
217
set_location_assignment PIN_Y2 -to clock
218
set_location_assignment PIN_Y23 -to reset
219
set_location_assignment PIN_AG26 -to six_button_UP_Z
220
set_location_assignment PIN_AH26 -to six_button_B_A
221
set_location_assignment PIN_AD25 -to six_button_C_START
222
set_location_assignment PIN_AG23 -to six_button_DOWN_Y
223
set_location_assignment PIN_AE24 -to six_button_LEFT_X
224
set_location_assignment PIN_AE25 -to six_button_RIGHT_MODE
225
set_location_assignment PIN_AF26 -to six_button_SELECT
226
set_location_assignment PIN_J19 -to button_a
227
set_location_assignment PIN_F18 -to button_b
228
set_location_assignment PIN_E18 -to button_c
229
set_location_assignment PIN_F19 -to button_down
230
set_location_assignment PIN_E19 -to button_left
231
set_location_assignment PIN_F21 -to button_right
232
set_location_assignment PIN_H19 -to button_start
233
set_location_assignment PIN_G19 -to button_up
234
set_location_assignment PIN_J15 -to button_x
235
set_location_assignment PIN_G17 -to button_y
236
set_location_assignment PIN_J17 -to button_z
237
set_global_assignment -name MIF_FILE sprite_shape.mif
238
set_global_assignment -name VERILOG_FILE SRAM_Interface.v
239
set_global_assignment -name VERILOG_FILE Genesis_6button_Interface.v
240
set_global_assignment -name VERILOG_FILE Sprite_Shape_Reader.v
241
set_global_assignment -name VERILOG_FILE Sprite_Processor.v
242
set_global_assignment -name VERILOG_FILE VGA_Interface.v
243
set_global_assignment -name BDF_FILE Processor.bdf
244
set_global_assignment -name MIF_FILE program.mif
245
set_global_assignment -name SDC_FILE Processor_SDC.sdc
246
set_global_assignment -name QIP_FILE IP_ROM_Program.qip
247
set_global_assignment -name QIP_FILE IP_ADD.qip
248
set_global_assignment -name QIP_FILE IP_SUB.qip
249
set_global_assignment -name QIP_FILE IP_MULT.qip
250
set_global_assignment -name QIP_FILE IP_COMPARE.qip
251
set_global_assignment -name VERILOG_FILE Processor_Controller.v
252
set_global_assignment -name QIP_FILE IP_RAM_Data.qip
253
set_global_assignment -name VERILOG_FILE Memory_Arbiter.v
254
set_global_assignment -name VERILOG_FILE Interrupt_Controller.v
255
set_global_assignment -name QIP_FILE IP_PLL.qip
256
set_global_assignment -name VERILOG_FILE Reset_Synchronizer.v
257
set_global_assignment -name QIP_FILE IP_DIVIDE.qip
258
set_location_assignment PIN_C10 -to VGA_SYNC
259
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.