OpenCores
URL https://opencores.org/ocsvn/2d_game_console/2d_game_console/trunk

Subversion Repositories 2d_game_console

[/] [2d_game_console/] [trunk/] [Processor_Quartus/] [Processor_SDC.sdc.bak] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 lucas.vbal
 
2
create_clock -period 40 [get_ports clock]
3
 
4
#set_input_delay -clock clock -max 5 [all_inputs]
5
 
6
#set_output_delay -clock clock -max 5  [all_outputs]
7
 
8
derive_clock_uncertainty
9
 
10
#derive_pll_clocks

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.