OpenCores
URL https://opencores.org/ocsvn/2d_game_console/2d_game_console/trunk

Subversion Repositories 2d_game_console

[/] [2d_game_console/] [trunk/] [Processor_Quartus/] [SRAM_Interface.bsf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 lucas.vbal
/*
2
WARNING: Do NOT edit the input and output ports in this file in a text
3
editor if you plan to continue editing the block that represents it in
4
the Block Editor! File corruption is VERY likely to occur.
5
*/
6
/*
7
Copyright (C) 2017  Intel Corporation. All rights reserved.
8
Your use of Intel Corporation's design tools, logic functions
9
and other software and tools, and its AMPP partner logic
10
functions, and any output files from any of the foregoing
11
(including device programming or simulation files), and any
12
associated documentation or information are expressly subject
13
to the terms and conditions of the Intel Program License
14
Subscription Agreement, the Intel Quartus Prime License Agreement,
15
the Intel MegaCore Function License Agreement, or other
16
applicable license agreement, including, without limitation,
17
that your use is for the sole purpose of programming logic
18
devices manufactured by Intel and sold by Intel or its
19
authorized distributors.  Please refer to the applicable
20
agreement for further details.
21
*/
22
(header "symbol" (version "1.1"))
23
(symbol
24
        (rect 16 16 232 224)
25
        (text "SRAM_Interface" (rect 5 0 76 12)(font "Arial" ))
26
        (text "inst" (rect 8 192 20 204)(font "Arial" ))
27
        (port
28
                (pt 0 32)
29
                (input)
30
                (text "iDATA[15..0]" (rect 0 0 54 12)(font "Arial" ))
31
                (text "iDATA[15..0]" (rect 21 27 75 39)(font "Arial" ))
32
                (line (pt 0 32)(pt 16 32)(line_width 3))
33
        )
34
        (port
35
                (pt 0 48)
36
                (input)
37
                (text "iADDR[19..0]" (rect 0 0 55 12)(font "Arial" ))
38
                (text "iADDR[19..0]" (rect 21 43 76 55)(font "Arial" ))
39
                (line (pt 0 48)(pt 16 48)(line_width 3))
40
        )
41
        (port
42
                (pt 216 32)
43
                (output)
44
                (text "oWE_N" (rect 0 0 35 12)(font "Arial" ))
45
                (text "oWE_N" (rect 160 27 195 39)(font "Arial" ))
46
                (line (pt 216 32)(pt 200 32)(line_width 1))
47
        )
48
        (port
49
                (pt 216 48)
50
                (output)
51
                (text "oOE_N" (rect 0 0 31 12)(font "Arial" ))
52
                (text "oOE_N" (rect 164 43 195 55)(font "Arial" ))
53
                (line (pt 216 48)(pt 200 48)(line_width 1))
54
        )
55
        (port
56
                (pt 216 64)
57
                (output)
58
                (text "oCE_N" (rect 0 0 31 12)(font "Arial" ))
59
                (text "oCE_N" (rect 164 59 195 71)(font "Arial" ))
60
                (line (pt 216 64)(pt 200 64)(line_width 1))
61
        )
62
        (port
63
                (pt 216 80)
64
                (output)
65
                (text "oLB_N" (rect 0 0 29 12)(font "Arial" ))
66
                (text "oLB_N" (rect 166 75 195 87)(font "Arial" ))
67
                (line (pt 216 80)(pt 200 80)(line_width 1))
68
        )
69
        (port
70
                (pt 216 96)
71
                (output)
72
                (text "oUB_N" (rect 0 0 30 12)(font "Arial" ))
73
                (text "oUB_N" (rect 165 91 195 103)(font "Arial" ))
74
                (line (pt 216 96)(pt 200 96)(line_width 1))
75
        )
76
        (port
77
                (pt 216 112)
78
                (output)
79
                (text "oADDR[19..0]" (rect 0 0 59 12)(font "Arial" ))
80
                (text "oADDR[19..0]" (rect 136 107 195 119)(font "Arial" ))
81
                (line (pt 216 112)(pt 200 112)(line_width 3))
82
        )
83
        (port
84
                (pt 216 128)
85
                (output)
86
                (text "oRED[7..0]" (rect 0 0 47 12)(font "Arial" ))
87
                (text "oRED[7..0]" (rect 148 123 195 135)(font "Arial" ))
88
                (line (pt 216 128)(pt 200 128)(line_width 3))
89
        )
90
        (port
91
                (pt 216 144)
92
                (output)
93
                (text "oGREEN[7..0]" (rect 0 0 61 12)(font "Arial" ))
94
                (text "oGREEN[7..0]" (rect 134 139 195 151)(font "Arial" ))
95
                (line (pt 216 144)(pt 200 144)(line_width 3))
96
        )
97
        (port
98
                (pt 216 160)
99
                (output)
100
                (text "oBLUE[7..0]" (rect 0 0 50 12)(font "Arial" ))
101
                (text "oBLUE[7..0]" (rect 145 155 195 167)(font "Arial" ))
102
                (line (pt 216 160)(pt 200 160)(line_width 3))
103
        )
104
        (drawing
105
                (rectangle (rect 16 16 200 192)(line_width 1))
106
        )
107
)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.