OpenCores
URL https://opencores.org/ocsvn/2d_game_console/2d_game_console/trunk

Subversion Repositories 2d_game_console

[/] [2d_game_console/] [trunk/] [Processor_Quartus/] [db/] [IP_PLL_altpll.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 lucas.vbal
//altpll bandwidth_type="AUTO" CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" clk0_divide_by=2 clk0_duty_cycle=50 clk0_multiply_by=1 clk0_phase_shift="0" compensate_clock="CLK0" device_family="Cyclone IV E" inclk0_input_frequency=20000 intended_device_family="Cyclone IV E" lpm_hint="CBX_MODULE_PREFIX=IP_PLL" operation_mode="normal" pll_type="AUTO" port_clk0="PORT_USED" port_clk1="PORT_UNUSED" port_clk2="PORT_UNUSED" port_clk3="PORT_UNUSED" port_clk4="PORT_UNUSED" port_clk5="PORT_UNUSED" port_extclk0="PORT_UNUSED" port_extclk1="PORT_UNUSED" port_extclk2="PORT_UNUSED" port_extclk3="PORT_UNUSED" port_inclk1="PORT_UNUSED" port_phasecounterselect="PORT_UNUSED" port_phasedone="PORT_UNUSED" port_scandata="PORT_UNUSED" port_scandataout="PORT_UNUSED" width_clock=5 clk inclk CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48
2
//VERSION_BEGIN 17.0 cbx_altclkbuf 2017:04:25:18:06:29:SJ cbx_altiobuf_bidir 2017:04:25:18:06:29:SJ cbx_altiobuf_in 2017:04:25:18:06:29:SJ cbx_altiobuf_out 2017:04:25:18:06:29:SJ cbx_altpll 2017:04:25:18:06:29:SJ cbx_cycloneii 2017:04:25:18:06:29:SJ cbx_lpm_add_sub 2017:04:25:18:06:29:SJ cbx_lpm_compare 2017:04:25:18:06:29:SJ cbx_lpm_counter 2017:04:25:18:06:29:SJ cbx_lpm_decode 2017:04:25:18:06:29:SJ cbx_lpm_mux 2017:04:25:18:06:30:SJ cbx_mgl 2017:04:25:18:09:28:SJ cbx_nadder 2017:04:25:18:06:30:SJ cbx_stratix 2017:04:25:18:06:30:SJ cbx_stratixii 2017:04:25:18:06:30:SJ cbx_stratixiii 2017:04:25:18:06:30:SJ cbx_stratixv 2017:04:25:18:06:30:SJ cbx_util_mgl 2017:04:25:18:06:30:SJ  VERSION_END
3
//CBXI_INSTANCE_NAME="Processor_IP_PLL_inst17_altpll_altpll_component"
4
// synthesis VERILOG_INPUT_VERSION VERILOG_2001
5
// altera message_off 10463
6
 
7
 
8
 
9
// Copyright (C) 2017  Intel Corporation. All rights reserved.
10
//  Your use of Intel Corporation's design tools, logic functions 
11
//  and other software and tools, and its AMPP partner logic 
12
//  functions, and any output files from any of the foregoing 
13
//  (including device programming or simulation files), and any 
14
//  associated documentation or information are expressly subject 
15
//  to the terms and conditions of the Intel Program License 
16
//  Subscription Agreement, the Intel Quartus Prime License Agreement,
17
//  the Intel MegaCore Function License Agreement, or other 
18
//  applicable license agreement, including, without limitation, 
19
//  that your use is for the sole purpose of programming logic 
20
//  devices manufactured by Intel and sold by Intel or its 
21
//  authorized distributors.  Please refer to the applicable 
22
//  agreement for further details.
23
 
24
 
25
 
26
//synthesis_resources = cycloneive_pll 1 
27
//synopsys translate_off
28
`timescale 1 ps / 1 ps
29
//synopsys translate_on
30
module  IP_PLL_altpll
31
        (
32
        clk,
33
        inclk) /* synthesis synthesis_clearbox=1 */;
34
        output   [4:0]  clk;
35
        input   [1:0]  inclk;
36
`ifndef ALTERA_RESERVED_QIS
37
// synopsys translate_off
38
`endif
39
        tri0   [1:0]  inclk;
40
`ifndef ALTERA_RESERVED_QIS
41
// synopsys translate_on
42
`endif
43
 
44
        wire  [4:0]   wire_pll1_clk;
45
        wire  wire_pll1_fbout;
46
 
47
        cycloneive_pll   pll1
48
        (
49
        .activeclock(),
50
        .clk(wire_pll1_clk),
51
        .clkbad(),
52
        .fbin(wire_pll1_fbout),
53
        .fbout(wire_pll1_fbout),
54
        .inclk(inclk),
55
        .locked(),
56
        .phasedone(),
57
        .scandataout(),
58
        .scandone(),
59
        .vcooverrange(),
60
        .vcounderrange()
61
        `ifndef FORMAL_VERIFICATION
62
        // synopsys translate_off
63
        `endif
64
        ,
65
        .areset(1'b0),
66
        .clkswitch(1'b0),
67
        .configupdate(1'b0),
68
        .pfdena(1'b1),
69
        .phasecounterselect({3{1'b0}}),
70
        .phasestep(1'b0),
71
        .phaseupdown(1'b0),
72
        .scanclk(1'b0),
73
        .scanclkena(1'b1),
74
        .scandata(1'b0)
75
        `ifndef FORMAL_VERIFICATION
76
        // synopsys translate_on
77
        `endif
78
        );
79
        defparam
80
                pll1.bandwidth_type = "auto",
81
                pll1.clk0_divide_by = 2,
82
                pll1.clk0_duty_cycle = 50,
83
                pll1.clk0_multiply_by = 1,
84
                pll1.clk0_phase_shift = "0",
85
                pll1.compensate_clock = "clk0",
86
                pll1.inclk0_input_frequency = 20000,
87
                pll1.operation_mode = "normal",
88
                pll1.pll_type = "auto",
89
                pll1.lpm_type = "cycloneive_pll";
90
        assign
91
                clk = {wire_pll1_clk[4:0]};
92
endmodule //IP_PLL_altpll
93
//VALID FILE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.