OpenCores
URL https://opencores.org/ocsvn/2d_game_console/2d_game_console/trunk

Subversion Repositories 2d_game_console

[/] [2d_game_console/] [trunk/] [Processor_Quartus/] [db/] [altsyncram_lcp3.tdf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 lucas.vbal
--altsyncram ADDRESS_ACLR_B="NONE" ADDRESS_REG_B="CLOCK1" BYTE_SIZE=8 BYTEENA_ACLR_B="NONE" BYTEENA_REG_B="CLOCK1" CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" CLOCK_ENABLE_CORE_A="USE_INPUT_CLKEN" CLOCK_ENABLE_CORE_B="USE_INPUT_CLKEN" CLOCK_ENABLE_INPUT_A="BYPASS" CLOCK_ENABLE_INPUT_B="NORMAL" CLOCK_ENABLE_OUTPUT_A="BYPASS" CLOCK_ENABLE_OUTPUT_B="NORMAL" CYCLONEII_M4K_COMPATIBILITY="ON" DEVICE_FAMILY="Cyclone IV E" ECC_PIPELINE_STAGE_ENABLED="FALSE" ENABLE_ECC="FALSE" ENABLE_RUNTIME_MOD="NO" IMPLEMENT_IN_LES="OFF" INDATA_ACLR_B="NONE" INDATA_REG_B="CLOCK1" INIT_FILE_LAYOUT="PORT_A" LOW_POWER_MODE="AUTO" MAXIMUM_DEPTH=0 NUMWORDS_A=2048 NUMWORDS_B=0 OPERATION_MODE="SINGLE_PORT" OUTDATA_ACLR_A="NONE" OUTDATA_ACLR_B="NONE" OUTDATA_REG_A="CLOCK0" OUTDATA_REG_B="UNREGISTERED" POWER_UP_UNINITIALIZED="FALSE" RAM_BLOCK_TYPE="AUTO" RDCONTROL_ACLR_B="NONE" RDCONTROL_REG_B="CLOCK1" READ_DURING_WRITE_MODE_MIXED_PORTS="DONT_CARE" read_during_write_mode_port_a="NEW_DATA_NO_NBE_READ" read_during_write_mode_port_b="NEW_DATA_NO_NBE_READ" stratixiv_m144k_allow_dual_clocks="ON" WIDTH_A=16 WIDTH_B=1 WIDTH_BYTEENA_A=1 WIDTH_BYTEENA_B=1 WIDTH_ECCSTATUS=3 WIDTHAD_A=11 WIDTHAD_B=1 WRCONTROL_ACLR_B="NONE" WRCONTROL_WRADDRESS_REG_B="CLOCK1" address_a clock0 data_a q_a wren_a CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48
2
--VERSION_BEGIN 17.0 cbx_altera_syncram_nd_impl 2017:04:25:18:06:29:SJ cbx_altsyncram 2017:04:25:18:06:29:SJ cbx_cycloneii 2017:04:25:18:06:29:SJ cbx_lpm_add_sub 2017:04:25:18:06:29:SJ cbx_lpm_compare 2017:04:25:18:06:29:SJ cbx_lpm_decode 2017:04:25:18:06:29:SJ cbx_lpm_mux 2017:04:25:18:06:30:SJ cbx_mgl 2017:04:25:18:09:28:SJ cbx_nadder 2017:04:25:18:06:30:SJ cbx_stratix 2017:04:25:18:06:30:SJ cbx_stratixii 2017:04:25:18:06:30:SJ cbx_stratixiii 2017:04:25:18:06:30:SJ cbx_stratixv 2017:04:25:18:06:30:SJ cbx_util_mgl 2017:04:25:18:06:30:SJ  VERSION_END
3
 
4
 
5
-- Copyright (C) 2017  Intel Corporation. All rights reserved.
6
--  Your use of Intel Corporation's design tools, logic functions
7
--  and other software and tools, and its AMPP partner logic
8
--  functions, and any output files from any of the foregoing
9
--  (including device programming or simulation files), and any
10
--  associated documentation or information are expressly subject
11
--  to the terms and conditions of the Intel Program License
12
--  Subscription Agreement, the Intel Quartus Prime License Agreement,
13
--  the Intel MegaCore Function License Agreement, or other
14
--  applicable license agreement, including, without limitation,
15
--  that your use is for the sole purpose of programming logic
16
--  devices manufactured by Intel and sold by Intel or its
17
--  authorized distributors.  Please refer to the applicable
18
--  agreement for further details.
19
 
20
 
21
FUNCTION cycloneive_ram_block (clk0, clk1, clr0, clr1, ena0, ena1, ena2, ena3, portaaddr[PORT_A_ADDRESS_WIDTH-1..0], portaaddrstall, portabyteenamasks[PORT_A_BYTE_ENABLE_MASK_WIDTH-1..0], portadatain[PORT_A_DATA_WIDTH-1..0], portare, portawe, portbaddr[PORT_B_ADDRESS_WIDTH-1..0], portbaddrstall, portbbyteenamasks[PORT_B_BYTE_ENABLE_MASK_WIDTH-1..0], portbdatain[PORT_B_DATA_WIDTH-1..0], portbre, portbwe)
22
WITH ( CLK0_CORE_CLOCK_ENABLE, CLK0_INPUT_CLOCK_ENABLE, CLK0_OUTPUT_CLOCK_ENABLE, CLK1_CORE_CLOCK_ENABLE, CLK1_INPUT_CLOCK_ENABLE, CLK1_OUTPUT_CLOCK_ENABLE, CONNECTIVITY_CHECKING, DATA_INTERLEAVE_OFFSET_IN_BITS, DATA_INTERLEAVE_WIDTH_IN_BITS, DONT_POWER_OPTIMIZE, INIT_FILE, INIT_FILE_LAYOUT, init_file_restructured, LOGICAL_RAM_NAME, mem_init0, mem_init1, mem_init2, mem_init3, mem_init4, MIXED_PORT_FEED_THROUGH_MODE, OPERATION_MODE, PORT_A_ADDRESS_CLEAR, PORT_A_ADDRESS_WIDTH = 1, PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, PORT_A_BYTE_SIZE, PORT_A_DATA_OUT_CLEAR, PORT_A_DATA_OUT_CLOCK, PORT_A_DATA_WIDTH = 1, PORT_A_FIRST_ADDRESS, PORT_A_FIRST_BIT_NUMBER, PORT_A_LAST_ADDRESS, PORT_A_LOGICAL_RAM_DEPTH, PORT_A_LOGICAL_RAM_WIDTH, PORT_A_READ_DURING_WRITE_MODE, PORT_B_ADDRESS_CLEAR, PORT_B_ADDRESS_CLOCK, PORT_B_ADDRESS_WIDTH = 1, PORT_B_BYTE_ENABLE_CLOCK, PORT_B_BYTE_ENABLE_MASK_WIDTH = 1, PORT_B_BYTE_SIZE, PORT_B_DATA_IN_CLOCK, PORT_B_DATA_OUT_CLEAR, PORT_B_DATA_OUT_CLOCK, PORT_B_DATA_WIDTH = 1, PORT_B_FIRST_ADDRESS, PORT_B_FIRST_BIT_NUMBER, PORT_B_LAST_ADDRESS, PORT_B_LOGICAL_RAM_DEPTH, PORT_B_LOGICAL_RAM_WIDTH, PORT_B_READ_DURING_WRITE_MODE, PORT_B_READ_ENABLE_CLOCK, PORT_B_WRITE_ENABLE_CLOCK, POWER_UP_UNINITIALIZED, RAM_BLOCK_TYPE, SAFE_WRITE, WIDTH_ECCSTATUS)
23
RETURNS ( portadataout[PORT_A_DATA_WIDTH-1..0], portbdataout[PORT_B_DATA_WIDTH-1..0]);
24
 
25
--synthesis_resources = M9K 4
26
OPTIONS ALTERA_INTERNAL_OPTION = "OPTIMIZE_POWER_DURING_SYNTHESIS=NORMAL_COMPILATION";
27
 
28
SUBDESIGN altsyncram_lcp3
29
(
30
        address_a[10..0]        :       input;
31
        clock0  :       input;
32
        data_a[15..0]   :       input;
33
        q_a[15..0]      :       output;
34
        wren_a  :       input;
35
)
36
VARIABLE
37
        ram_block1a0 : cycloneive_ram_block
38
                WITH (
39
                        CLK0_CORE_CLOCK_ENABLE = "none",
40
                        CLK0_INPUT_CLOCK_ENABLE = "none",
41
                        CLK0_OUTPUT_CLOCK_ENABLE = "none",
42
                        CONNECTIVITY_CHECKING = "OFF",
43
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
44
                        OPERATION_MODE = "single_port",
45
                        PORT_A_ADDRESS_WIDTH = 11,
46
                        PORT_A_BYTE_ENABLE_MASK_WIDTH = 1,
47
                        PORT_A_BYTE_SIZE = 1,
48
                        PORT_A_DATA_OUT_CLEAR = "none",
49
                        PORT_A_DATA_OUT_CLOCK = "clock0",
50
                        PORT_A_DATA_WIDTH = 1,
51
                        PORT_A_FIRST_ADDRESS = 0,
52
                        PORT_A_FIRST_BIT_NUMBER = 0,
53
                        PORT_A_LAST_ADDRESS = 2047,
54
                        PORT_A_LOGICAL_RAM_DEPTH = 2048,
55
                        PORT_A_LOGICAL_RAM_WIDTH = 16,
56
                        PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read",
57
                        POWER_UP_UNINITIALIZED = "false",
58
                        RAM_BLOCK_TYPE = "AUTO"
59
                );
60
        ram_block1a1 : cycloneive_ram_block
61
                WITH (
62
                        CLK0_CORE_CLOCK_ENABLE = "none",
63
                        CLK0_INPUT_CLOCK_ENABLE = "none",
64
                        CLK0_OUTPUT_CLOCK_ENABLE = "none",
65
                        CONNECTIVITY_CHECKING = "OFF",
66
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
67
                        OPERATION_MODE = "single_port",
68
                        PORT_A_ADDRESS_WIDTH = 11,
69
                        PORT_A_BYTE_ENABLE_MASK_WIDTH = 1,
70
                        PORT_A_BYTE_SIZE = 1,
71
                        PORT_A_DATA_OUT_CLEAR = "none",
72
                        PORT_A_DATA_OUT_CLOCK = "clock0",
73
                        PORT_A_DATA_WIDTH = 1,
74
                        PORT_A_FIRST_ADDRESS = 0,
75
                        PORT_A_FIRST_BIT_NUMBER = 1,
76
                        PORT_A_LAST_ADDRESS = 2047,
77
                        PORT_A_LOGICAL_RAM_DEPTH = 2048,
78
                        PORT_A_LOGICAL_RAM_WIDTH = 16,
79
                        PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read",
80
                        POWER_UP_UNINITIALIZED = "false",
81
                        RAM_BLOCK_TYPE = "AUTO"
82
                );
83
        ram_block1a2 : cycloneive_ram_block
84
                WITH (
85
                        CLK0_CORE_CLOCK_ENABLE = "none",
86
                        CLK0_INPUT_CLOCK_ENABLE = "none",
87
                        CLK0_OUTPUT_CLOCK_ENABLE = "none",
88
                        CONNECTIVITY_CHECKING = "OFF",
89
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
90
                        OPERATION_MODE = "single_port",
91
                        PORT_A_ADDRESS_WIDTH = 11,
92
                        PORT_A_BYTE_ENABLE_MASK_WIDTH = 1,
93
                        PORT_A_BYTE_SIZE = 1,
94
                        PORT_A_DATA_OUT_CLEAR = "none",
95
                        PORT_A_DATA_OUT_CLOCK = "clock0",
96
                        PORT_A_DATA_WIDTH = 1,
97
                        PORT_A_FIRST_ADDRESS = 0,
98
                        PORT_A_FIRST_BIT_NUMBER = 2,
99
                        PORT_A_LAST_ADDRESS = 2047,
100
                        PORT_A_LOGICAL_RAM_DEPTH = 2048,
101
                        PORT_A_LOGICAL_RAM_WIDTH = 16,
102
                        PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read",
103
                        POWER_UP_UNINITIALIZED = "false",
104
                        RAM_BLOCK_TYPE = "AUTO"
105
                );
106
        ram_block1a3 : cycloneive_ram_block
107
                WITH (
108
                        CLK0_CORE_CLOCK_ENABLE = "none",
109
                        CLK0_INPUT_CLOCK_ENABLE = "none",
110
                        CLK0_OUTPUT_CLOCK_ENABLE = "none",
111
                        CONNECTIVITY_CHECKING = "OFF",
112
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
113
                        OPERATION_MODE = "single_port",
114
                        PORT_A_ADDRESS_WIDTH = 11,
115
                        PORT_A_BYTE_ENABLE_MASK_WIDTH = 1,
116
                        PORT_A_BYTE_SIZE = 1,
117
                        PORT_A_DATA_OUT_CLEAR = "none",
118
                        PORT_A_DATA_OUT_CLOCK = "clock0",
119
                        PORT_A_DATA_WIDTH = 1,
120
                        PORT_A_FIRST_ADDRESS = 0,
121
                        PORT_A_FIRST_BIT_NUMBER = 3,
122
                        PORT_A_LAST_ADDRESS = 2047,
123
                        PORT_A_LOGICAL_RAM_DEPTH = 2048,
124
                        PORT_A_LOGICAL_RAM_WIDTH = 16,
125
                        PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read",
126
                        POWER_UP_UNINITIALIZED = "false",
127
                        RAM_BLOCK_TYPE = "AUTO"
128
                );
129
        ram_block1a4 : cycloneive_ram_block
130
                WITH (
131
                        CLK0_CORE_CLOCK_ENABLE = "none",
132
                        CLK0_INPUT_CLOCK_ENABLE = "none",
133
                        CLK0_OUTPUT_CLOCK_ENABLE = "none",
134
                        CONNECTIVITY_CHECKING = "OFF",
135
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
136
                        OPERATION_MODE = "single_port",
137
                        PORT_A_ADDRESS_WIDTH = 11,
138
                        PORT_A_BYTE_ENABLE_MASK_WIDTH = 1,
139
                        PORT_A_BYTE_SIZE = 1,
140
                        PORT_A_DATA_OUT_CLEAR = "none",
141
                        PORT_A_DATA_OUT_CLOCK = "clock0",
142
                        PORT_A_DATA_WIDTH = 1,
143
                        PORT_A_FIRST_ADDRESS = 0,
144
                        PORT_A_FIRST_BIT_NUMBER = 4,
145
                        PORT_A_LAST_ADDRESS = 2047,
146
                        PORT_A_LOGICAL_RAM_DEPTH = 2048,
147
                        PORT_A_LOGICAL_RAM_WIDTH = 16,
148
                        PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read",
149
                        POWER_UP_UNINITIALIZED = "false",
150
                        RAM_BLOCK_TYPE = "AUTO"
151
                );
152
        ram_block1a5 : cycloneive_ram_block
153
                WITH (
154
                        CLK0_CORE_CLOCK_ENABLE = "none",
155
                        CLK0_INPUT_CLOCK_ENABLE = "none",
156
                        CLK0_OUTPUT_CLOCK_ENABLE = "none",
157
                        CONNECTIVITY_CHECKING = "OFF",
158
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
159
                        OPERATION_MODE = "single_port",
160
                        PORT_A_ADDRESS_WIDTH = 11,
161
                        PORT_A_BYTE_ENABLE_MASK_WIDTH = 1,
162
                        PORT_A_BYTE_SIZE = 1,
163
                        PORT_A_DATA_OUT_CLEAR = "none",
164
                        PORT_A_DATA_OUT_CLOCK = "clock0",
165
                        PORT_A_DATA_WIDTH = 1,
166
                        PORT_A_FIRST_ADDRESS = 0,
167
                        PORT_A_FIRST_BIT_NUMBER = 5,
168
                        PORT_A_LAST_ADDRESS = 2047,
169
                        PORT_A_LOGICAL_RAM_DEPTH = 2048,
170
                        PORT_A_LOGICAL_RAM_WIDTH = 16,
171
                        PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read",
172
                        POWER_UP_UNINITIALIZED = "false",
173
                        RAM_BLOCK_TYPE = "AUTO"
174
                );
175
        ram_block1a6 : cycloneive_ram_block
176
                WITH (
177
                        CLK0_CORE_CLOCK_ENABLE = "none",
178
                        CLK0_INPUT_CLOCK_ENABLE = "none",
179
                        CLK0_OUTPUT_CLOCK_ENABLE = "none",
180
                        CONNECTIVITY_CHECKING = "OFF",
181
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
182
                        OPERATION_MODE = "single_port",
183
                        PORT_A_ADDRESS_WIDTH = 11,
184
                        PORT_A_BYTE_ENABLE_MASK_WIDTH = 1,
185
                        PORT_A_BYTE_SIZE = 1,
186
                        PORT_A_DATA_OUT_CLEAR = "none",
187
                        PORT_A_DATA_OUT_CLOCK = "clock0",
188
                        PORT_A_DATA_WIDTH = 1,
189
                        PORT_A_FIRST_ADDRESS = 0,
190
                        PORT_A_FIRST_BIT_NUMBER = 6,
191
                        PORT_A_LAST_ADDRESS = 2047,
192
                        PORT_A_LOGICAL_RAM_DEPTH = 2048,
193
                        PORT_A_LOGICAL_RAM_WIDTH = 16,
194
                        PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read",
195
                        POWER_UP_UNINITIALIZED = "false",
196
                        RAM_BLOCK_TYPE = "AUTO"
197
                );
198
        ram_block1a7 : cycloneive_ram_block
199
                WITH (
200
                        CLK0_CORE_CLOCK_ENABLE = "none",
201
                        CLK0_INPUT_CLOCK_ENABLE = "none",
202
                        CLK0_OUTPUT_CLOCK_ENABLE = "none",
203
                        CONNECTIVITY_CHECKING = "OFF",
204
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
205
                        OPERATION_MODE = "single_port",
206
                        PORT_A_ADDRESS_WIDTH = 11,
207
                        PORT_A_BYTE_ENABLE_MASK_WIDTH = 1,
208
                        PORT_A_BYTE_SIZE = 1,
209
                        PORT_A_DATA_OUT_CLEAR = "none",
210
                        PORT_A_DATA_OUT_CLOCK = "clock0",
211
                        PORT_A_DATA_WIDTH = 1,
212
                        PORT_A_FIRST_ADDRESS = 0,
213
                        PORT_A_FIRST_BIT_NUMBER = 7,
214
                        PORT_A_LAST_ADDRESS = 2047,
215
                        PORT_A_LOGICAL_RAM_DEPTH = 2048,
216
                        PORT_A_LOGICAL_RAM_WIDTH = 16,
217
                        PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read",
218
                        POWER_UP_UNINITIALIZED = "false",
219
                        RAM_BLOCK_TYPE = "AUTO"
220
                );
221
        ram_block1a8 : cycloneive_ram_block
222
                WITH (
223
                        CLK0_CORE_CLOCK_ENABLE = "none",
224
                        CLK0_INPUT_CLOCK_ENABLE = "none",
225
                        CLK0_OUTPUT_CLOCK_ENABLE = "none",
226
                        CONNECTIVITY_CHECKING = "OFF",
227
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
228
                        OPERATION_MODE = "single_port",
229
                        PORT_A_ADDRESS_WIDTH = 11,
230
                        PORT_A_BYTE_ENABLE_MASK_WIDTH = 1,
231
                        PORT_A_BYTE_SIZE = 1,
232
                        PORT_A_DATA_OUT_CLEAR = "none",
233
                        PORT_A_DATA_OUT_CLOCK = "clock0",
234
                        PORT_A_DATA_WIDTH = 1,
235
                        PORT_A_FIRST_ADDRESS = 0,
236
                        PORT_A_FIRST_BIT_NUMBER = 8,
237
                        PORT_A_LAST_ADDRESS = 2047,
238
                        PORT_A_LOGICAL_RAM_DEPTH = 2048,
239
                        PORT_A_LOGICAL_RAM_WIDTH = 16,
240
                        PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read",
241
                        POWER_UP_UNINITIALIZED = "false",
242
                        RAM_BLOCK_TYPE = "AUTO"
243
                );
244
        ram_block1a9 : cycloneive_ram_block
245
                WITH (
246
                        CLK0_CORE_CLOCK_ENABLE = "none",
247
                        CLK0_INPUT_CLOCK_ENABLE = "none",
248
                        CLK0_OUTPUT_CLOCK_ENABLE = "none",
249
                        CONNECTIVITY_CHECKING = "OFF",
250
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
251
                        OPERATION_MODE = "single_port",
252
                        PORT_A_ADDRESS_WIDTH = 11,
253
                        PORT_A_BYTE_ENABLE_MASK_WIDTH = 1,
254
                        PORT_A_BYTE_SIZE = 1,
255
                        PORT_A_DATA_OUT_CLEAR = "none",
256
                        PORT_A_DATA_OUT_CLOCK = "clock0",
257
                        PORT_A_DATA_WIDTH = 1,
258
                        PORT_A_FIRST_ADDRESS = 0,
259
                        PORT_A_FIRST_BIT_NUMBER = 9,
260
                        PORT_A_LAST_ADDRESS = 2047,
261
                        PORT_A_LOGICAL_RAM_DEPTH = 2048,
262
                        PORT_A_LOGICAL_RAM_WIDTH = 16,
263
                        PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read",
264
                        POWER_UP_UNINITIALIZED = "false",
265
                        RAM_BLOCK_TYPE = "AUTO"
266
                );
267
        ram_block1a10 : cycloneive_ram_block
268
                WITH (
269
                        CLK0_CORE_CLOCK_ENABLE = "none",
270
                        CLK0_INPUT_CLOCK_ENABLE = "none",
271
                        CLK0_OUTPUT_CLOCK_ENABLE = "none",
272
                        CONNECTIVITY_CHECKING = "OFF",
273
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
274
                        OPERATION_MODE = "single_port",
275
                        PORT_A_ADDRESS_WIDTH = 11,
276
                        PORT_A_BYTE_ENABLE_MASK_WIDTH = 1,
277
                        PORT_A_BYTE_SIZE = 1,
278
                        PORT_A_DATA_OUT_CLEAR = "none",
279
                        PORT_A_DATA_OUT_CLOCK = "clock0",
280
                        PORT_A_DATA_WIDTH = 1,
281
                        PORT_A_FIRST_ADDRESS = 0,
282
                        PORT_A_FIRST_BIT_NUMBER = 10,
283
                        PORT_A_LAST_ADDRESS = 2047,
284
                        PORT_A_LOGICAL_RAM_DEPTH = 2048,
285
                        PORT_A_LOGICAL_RAM_WIDTH = 16,
286
                        PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read",
287
                        POWER_UP_UNINITIALIZED = "false",
288
                        RAM_BLOCK_TYPE = "AUTO"
289
                );
290
        ram_block1a11 : cycloneive_ram_block
291
                WITH (
292
                        CLK0_CORE_CLOCK_ENABLE = "none",
293
                        CLK0_INPUT_CLOCK_ENABLE = "none",
294
                        CLK0_OUTPUT_CLOCK_ENABLE = "none",
295
                        CONNECTIVITY_CHECKING = "OFF",
296
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
297
                        OPERATION_MODE = "single_port",
298
                        PORT_A_ADDRESS_WIDTH = 11,
299
                        PORT_A_BYTE_ENABLE_MASK_WIDTH = 1,
300
                        PORT_A_BYTE_SIZE = 1,
301
                        PORT_A_DATA_OUT_CLEAR = "none",
302
                        PORT_A_DATA_OUT_CLOCK = "clock0",
303
                        PORT_A_DATA_WIDTH = 1,
304
                        PORT_A_FIRST_ADDRESS = 0,
305
                        PORT_A_FIRST_BIT_NUMBER = 11,
306
                        PORT_A_LAST_ADDRESS = 2047,
307
                        PORT_A_LOGICAL_RAM_DEPTH = 2048,
308
                        PORT_A_LOGICAL_RAM_WIDTH = 16,
309
                        PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read",
310
                        POWER_UP_UNINITIALIZED = "false",
311
                        RAM_BLOCK_TYPE = "AUTO"
312
                );
313
        ram_block1a12 : cycloneive_ram_block
314
                WITH (
315
                        CLK0_CORE_CLOCK_ENABLE = "none",
316
                        CLK0_INPUT_CLOCK_ENABLE = "none",
317
                        CLK0_OUTPUT_CLOCK_ENABLE = "none",
318
                        CONNECTIVITY_CHECKING = "OFF",
319
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
320
                        OPERATION_MODE = "single_port",
321
                        PORT_A_ADDRESS_WIDTH = 11,
322
                        PORT_A_BYTE_ENABLE_MASK_WIDTH = 1,
323
                        PORT_A_BYTE_SIZE = 1,
324
                        PORT_A_DATA_OUT_CLEAR = "none",
325
                        PORT_A_DATA_OUT_CLOCK = "clock0",
326
                        PORT_A_DATA_WIDTH = 1,
327
                        PORT_A_FIRST_ADDRESS = 0,
328
                        PORT_A_FIRST_BIT_NUMBER = 12,
329
                        PORT_A_LAST_ADDRESS = 2047,
330
                        PORT_A_LOGICAL_RAM_DEPTH = 2048,
331
                        PORT_A_LOGICAL_RAM_WIDTH = 16,
332
                        PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read",
333
                        POWER_UP_UNINITIALIZED = "false",
334
                        RAM_BLOCK_TYPE = "AUTO"
335
                );
336
        ram_block1a13 : cycloneive_ram_block
337
                WITH (
338
                        CLK0_CORE_CLOCK_ENABLE = "none",
339
                        CLK0_INPUT_CLOCK_ENABLE = "none",
340
                        CLK0_OUTPUT_CLOCK_ENABLE = "none",
341
                        CONNECTIVITY_CHECKING = "OFF",
342
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
343
                        OPERATION_MODE = "single_port",
344
                        PORT_A_ADDRESS_WIDTH = 11,
345
                        PORT_A_BYTE_ENABLE_MASK_WIDTH = 1,
346
                        PORT_A_BYTE_SIZE = 1,
347
                        PORT_A_DATA_OUT_CLEAR = "none",
348
                        PORT_A_DATA_OUT_CLOCK = "clock0",
349
                        PORT_A_DATA_WIDTH = 1,
350
                        PORT_A_FIRST_ADDRESS = 0,
351
                        PORT_A_FIRST_BIT_NUMBER = 13,
352
                        PORT_A_LAST_ADDRESS = 2047,
353
                        PORT_A_LOGICAL_RAM_DEPTH = 2048,
354
                        PORT_A_LOGICAL_RAM_WIDTH = 16,
355
                        PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read",
356
                        POWER_UP_UNINITIALIZED = "false",
357
                        RAM_BLOCK_TYPE = "AUTO"
358
                );
359
        ram_block1a14 : cycloneive_ram_block
360
                WITH (
361
                        CLK0_CORE_CLOCK_ENABLE = "none",
362
                        CLK0_INPUT_CLOCK_ENABLE = "none",
363
                        CLK0_OUTPUT_CLOCK_ENABLE = "none",
364
                        CONNECTIVITY_CHECKING = "OFF",
365
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
366
                        OPERATION_MODE = "single_port",
367
                        PORT_A_ADDRESS_WIDTH = 11,
368
                        PORT_A_BYTE_ENABLE_MASK_WIDTH = 1,
369
                        PORT_A_BYTE_SIZE = 1,
370
                        PORT_A_DATA_OUT_CLEAR = "none",
371
                        PORT_A_DATA_OUT_CLOCK = "clock0",
372
                        PORT_A_DATA_WIDTH = 1,
373
                        PORT_A_FIRST_ADDRESS = 0,
374
                        PORT_A_FIRST_BIT_NUMBER = 14,
375
                        PORT_A_LAST_ADDRESS = 2047,
376
                        PORT_A_LOGICAL_RAM_DEPTH = 2048,
377
                        PORT_A_LOGICAL_RAM_WIDTH = 16,
378
                        PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read",
379
                        POWER_UP_UNINITIALIZED = "false",
380
                        RAM_BLOCK_TYPE = "AUTO"
381
                );
382
        ram_block1a15 : cycloneive_ram_block
383
                WITH (
384
                        CLK0_CORE_CLOCK_ENABLE = "none",
385
                        CLK0_INPUT_CLOCK_ENABLE = "none",
386
                        CLK0_OUTPUT_CLOCK_ENABLE = "none",
387
                        CONNECTIVITY_CHECKING = "OFF",
388
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
389
                        OPERATION_MODE = "single_port",
390
                        PORT_A_ADDRESS_WIDTH = 11,
391
                        PORT_A_BYTE_ENABLE_MASK_WIDTH = 1,
392
                        PORT_A_BYTE_SIZE = 1,
393
                        PORT_A_DATA_OUT_CLEAR = "none",
394
                        PORT_A_DATA_OUT_CLOCK = "clock0",
395
                        PORT_A_DATA_WIDTH = 1,
396
                        PORT_A_FIRST_ADDRESS = 0,
397
                        PORT_A_FIRST_BIT_NUMBER = 15,
398
                        PORT_A_LAST_ADDRESS = 2047,
399
                        PORT_A_LOGICAL_RAM_DEPTH = 2048,
400
                        PORT_A_LOGICAL_RAM_WIDTH = 16,
401
                        PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read",
402
                        POWER_UP_UNINITIALIZED = "false",
403
                        RAM_BLOCK_TYPE = "AUTO"
404
                );
405
        address_a_wire[10..0]   : WIRE;
406
 
407
BEGIN
408
        ram_block1a[15..0].clk0 = clock0;
409
        ram_block1a[15..0].portaaddr[] = ( address_a_wire[10..0]);
410
        ram_block1a[0].portadatain[] = ( data_a[0..0]);
411
        ram_block1a[1].portadatain[] = ( data_a[1..1]);
412
        ram_block1a[2].portadatain[] = ( data_a[2..2]);
413
        ram_block1a[3].portadatain[] = ( data_a[3..3]);
414
        ram_block1a[4].portadatain[] = ( data_a[4..4]);
415
        ram_block1a[5].portadatain[] = ( data_a[5..5]);
416
        ram_block1a[6].portadatain[] = ( data_a[6..6]);
417
        ram_block1a[7].portadatain[] = ( data_a[7..7]);
418
        ram_block1a[8].portadatain[] = ( data_a[8..8]);
419
        ram_block1a[9].portadatain[] = ( data_a[9..9]);
420
        ram_block1a[10].portadatain[] = ( data_a[10..10]);
421
        ram_block1a[11].portadatain[] = ( data_a[11..11]);
422
        ram_block1a[12].portadatain[] = ( data_a[12..12]);
423
        ram_block1a[13].portadatain[] = ( data_a[13..13]);
424
        ram_block1a[14].portadatain[] = ( data_a[14..14]);
425
        ram_block1a[15].portadatain[] = ( data_a[15..15]);
426
        ram_block1a[15..0].portare = B"1111111111111111";
427
        ram_block1a[15..0].portawe = wren_a;
428
        address_a_wire[] = address_a[];
429
        q_a[] = ( ram_block1a[15..0].portadataout[0..0]);
430
END;
431
--VALID FILE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.