OpenCores
URL https://opencores.org/ocsvn/2d_game_console/2d_game_console/trunk

Subversion Repositories 2d_game_console

[/] [2d_game_console/] [trunk/] [Processor_Quartus/] [db/] [cmpr_s2j.tdf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 lucas.vbal
--lpm_compare CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" DEVICE_FAMILY="Cyclone IV E" LPM_PIPELINE=1 LPM_REPRESENTATION="SIGNED" LPM_WIDTH=16 aeb agb alb clock dataa datab CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48
2
--VERSION_BEGIN 17.0 cbx_cycloneii 2017:04:25:18:06:29:SJ cbx_lpm_add_sub 2017:04:25:18:06:29:SJ cbx_lpm_compare 2017:04:25:18:06:29:SJ cbx_mgl 2017:04:25:18:09:28:SJ cbx_nadder 2017:04:25:18:06:30:SJ cbx_stratix 2017:04:25:18:06:30:SJ cbx_stratixii 2017:04:25:18:06:30:SJ  VERSION_END
3
 
4
 
5
-- Copyright (C) 2017  Intel Corporation. All rights reserved.
6
--  Your use of Intel Corporation's design tools, logic functions
7
--  and other software and tools, and its AMPP partner logic
8
--  functions, and any output files from any of the foregoing
9
--  (including device programming or simulation files), and any
10
--  associated documentation or information are expressly subject
11
--  to the terms and conditions of the Intel Program License
12
--  Subscription Agreement, the Intel Quartus Prime License Agreement,
13
--  the Intel MegaCore Function License Agreement, or other
14
--  applicable license agreement, including, without limitation,
15
--  that your use is for the sole purpose of programming logic
16
--  devices manufactured by Intel and sold by Intel or its
17
--  authorized distributors.  Please refer to the applicable
18
--  agreement for further details.
19
 
20
 
21
 
22
--synthesis_resources = lut 60
23
SUBDESIGN cmpr_s2j
24
(
25
        aeb     :       output;
26
        agb     :       output;
27
        alb     :       output;
28
        clock   :       input;
29
        dataa[15..0]    :       input;
30
        datab[15..0]    :       input;
31
)
32
VARIABLE
33
        aeb_int :       WIRE;
34
        alb_int :       WIRE;
35
        aeb_dffe[0..0]  :       DFFE;
36
        agb_dffe[0..0]  :       DFFE;
37
        alb_dffe[0..0]  :       DFFE;
38
        dataa_int[15..0]        :       WIRE;
39
        datab_int[15..0]        :       WIRE;
40
BEGIN
41
        dataa_int[] = ( !dataa[15] , dataa[14..0]);
42
        datab_int[] = ( !datab[15] , datab[14..0]);
43
        IF (dataa_int[] == datab_int[]) THEN
44
                aeb_int = VCC;
45
        ELSE
46
                aeb_int = GND;
47
        END IF;
48
        IF (dataa_int[] < datab_int[]) THEN
49
                alb_int = VCC;
50
        ELSE
51
                alb_int = GND;
52
        END IF;
53
        aeb_dffe[0].d = aeb_int;
54
        aeb = aeb_dffe[0].q;
55
        alb_dffe[0].d = alb_int;
56
        alb = alb_dffe[0].q;
57
        agb_dffe[0].d = !alb_int & !aeb_int;
58
        agb = agb_dffe[0].q;
59
        aeb_dffe[].clk = clock;
60
        alb_dffe[].clk = clock;
61
        agb_dffe[].clk = clock;
62
END;
63
--VALID FILE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.