OpenCores
URL https://opencores.org/ocsvn/395_vgs/395_vgs/trunk

Subversion Repositories 395_vgs

[/] [395_vgs/] [trunk/] [hdl/] [gpuchip.ucf] - Blame information for rev 17

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zuofu
inst sysReset init=S;   # initialize internal reset
2
 
3
# Flash RAM chip-enable
4
# vga port connection
5
# SDRAM pin connections
6
# address bus
7
# data bus
8
#
9
#PACE: Start of Constraints generated by PACE
10
 
11
#PACE: Start of PACE I/O Pin Assignments
12
NET "pin_ba<0>"  LOC = "p134"  ;
13
NET "pin_ba<1>"  LOC = "p137"  ;
14
NET "pin_blue<0>"  LOC = "p21"  ;
15
NET "pin_blue<1>"  LOC = "p22"  ;
16 17 zuofu
NET "pin_cAddr<0>"  LOC = "p75"  ;
17
NET "pin_cAddr<10>"  LOC = "p38"  ;
18
NET "pin_cAddr<11>"  LOC = "p44"  ;
19
NET "pin_cAddr<12>"  LOC = "p46"  ;
20
NET "pin_cAddr<13>"  LOC = "p49"  ;
21
NET "pin_cAddr<14>"  LOC = "p57"  ;
22
NET "pin_cAddr<1>"  LOC = "p74"  ;
23
NET "pin_cAddr<2>"  LOC = "p30"  ;
24
NET "pin_cAddr<3>"  LOC = "p31"  ;
25
NET "pin_cAddr<4>"  LOC = "p78"  ;
26
NET "pin_cAddr<5>"  LOC = "p42"  ;
27
NET "pin_cAddr<6>"  LOC = "p40"  ;
28
NET "pin_cAddr<7>"  LOC = "p29"  ;
29
NET "pin_cAddr<8>"  LOC = "p28"  ;
30
NET "pin_cAddr<9>"  LOC = "p27"  ;
31 2 zuofu
NET "pin_cas_n"  LOC = "p126"  ;
32 17 zuofu
NET "pin_cData<0>"  LOC = "p80"  ;
33
NET "pin_cData<10>"  LOC = "p85"  ;
34
NET "pin_cData<11>"  LOC = "p86"  ;
35
NET "pin_cData<12>"  LOC = "p87"  ;
36
NET "pin_cData<13>"  LOC = "p94"  ;
37
NET "pin_cData<14>"  LOC = "p66"  ;
38
NET "pin_cData<15>"  LOC = "p64"  ;
39
NET "pin_cData<1>"  LOC = "p77"  ;
40
NET "pin_cData<2>"  LOC = "p83"  ;
41
NET "pin_cData<3>"  LOC = "p79"  ;
42
NET "pin_cData<4>"  LOC = "p76"  ;
43
NET "pin_cData<5>"  LOC = "p56"  ;
44
NET "pin_cData<6>"  LOC = "p54"  ;
45
NET "pin_cData<7>"  LOC = "p62"  ;
46
NET "pin_cData<8>"  LOC = "p67"  ;
47
NET "pin_cData<9>"  LOC = "p84"  ;
48 2 zuofu
NET "pin_ce_n"  LOC = "p41"  ;
49
NET "pin_cke"  LOC = "p131"  ;
50
NET "pin_clkin"  LOC = "p88"  ;
51 17 zuofu
NET "pin_cread"  LOC = "p39"  ;
52 2 zuofu
NET "pin_cs_n"  LOC = "p132"  ;
53 17 zuofu
NET "pin_cwrite"  LOC = "p59"  ;
54 2 zuofu
NET "pin_dqmh"  LOC = "p124"  ;
55
NET "pin_dqml"  LOC = "p122"  ;
56
NET "pin_green<0>"  LOC = "p19"  ;
57
NET "pin_green<1>"  LOC = "p20"  ;
58
NET "pin_hsync_n"  LOC = "p23"  ;
59
NET "pin_pushbtn"  LOC = "p93"  ;
60
NET "pin_ras_n"  LOC = "p130"  ;
61
NET "pin_red<0>"  LOC = "p12"  ;
62
NET "pin_red<1>"  LOC = "p13"  ;
63
NET "pin_sAddr<0>"  LOC = "p141"  ;
64
NET "pin_sAddr<10>"  LOC = "p139"  ;
65
NET "pin_sAddr<11>"  LOC = "p136"  ;
66
NET "pin_sAddr<1>"  LOC = "p4"  ;
67
NET "pin_sAddr<2>"  LOC = "p6"  ;
68
NET "pin_sAddr<3>"  LOC = "p10"  ;
69
NET "pin_sAddr<4>"  LOC = "p11"  ;
70
NET "pin_sAddr<5>"  LOC = "p7"  ;
71
NET "pin_sAddr<6>"  LOC = "p5"  ;
72
NET "pin_sAddr<7>"  LOC = "p3"  ;
73
NET "pin_sAddr<8>"  LOC = "p140"  ;
74
NET "pin_sAddr<9>"  LOC = "p138"  ;
75
NET "pin_sclk"  LOC = "p129"  ;
76
NET "pin_sclkfb"  LOC = "p91"  ;
77
NET "pin_sData<0>"  LOC = "p95"  ;
78
NET "pin_sData<10>"  LOC = "p116"  ;
79
NET "pin_sData<11>"  LOC = "p114"  ;
80
NET "pin_sData<12>"  LOC = "p112"  ;
81
NET "pin_sData<13>"  LOC = "p102"  ;
82
NET "pin_sData<14>"  LOC = "p100"  ;
83
NET "pin_sData<15>"  LOC = "p96"  ;
84
NET "pin_sData<1>"  LOC = "p99"  ;
85
NET "pin_sData<2>"  LOC = "p101"  ;
86
NET "pin_sData<3>"  LOC = "p103"  ;
87
NET "pin_sData<4>"  LOC = "p113"  ;
88
NET "pin_sData<5>"  LOC = "p115"  ;
89
NET "pin_sData<6>"  LOC = "p117"  ;
90
NET "pin_sData<7>"  LOC = "p120"  ;
91
NET "pin_sData<8>"  LOC = "p121"  ;
92
NET "pin_sData<9>"  LOC = "p118"  ;
93
NET "pin_vsync_n"  LOC = "p26"  ;
94
NET "pin_we_n"  LOC = "p123"  ;
95
 
96
#PACE: Start of PACE Area Constraints
97
 
98
#PACE: Start of PACE Prohibit Constraints
99
 
100
#PACE: End of Constraints generated by PACE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.