OpenCores
URL https://opencores.org/ocsvn/395_vgs/395_vgs/trunk

Subversion Repositories 395_vgs

[/] [395_vgs/] [trunk/] [hdl/] [gpuchip.ucf] - Blame information for rev 33

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zuofu
inst sysReset init=S;   # initialize internal reset
2
 
3
# Flash RAM chip-enable
4
# vga port connection
5
# SDRAM pin connections
6
# address bus
7
# data bus
8
#
9 25 zuofu
#NET "pin_cwrite"  LOC = "p59"  ;
10
#NET "pin_cread"  LOC = "p39"  ;
11
#NET "pin_cAddr<0>"  LOC = "p75"  ;
12
#NET "pin_cAddr<10>"  LOC = "p38"  ;
13
#NET "pin_cAddr<11>"  LOC = "p44"  ;
14
#NET "pin_cAddr<12>"  LOC = "p46"  ;
15
#NET "pin_cAddr<13>"  LOC = "p49"  ;
16
#NET "pin_cAddr<14>"  LOC = "p57"  ;
17
#NET "pin_cAddr<1>"  LOC = "p74"  ;
18
#NET "pin_cAddr<2>"  LOC = "p30"  ;
19
#NET "pin_cAddr<3>"  LOC = "p31"  ;
20
#NET "pin_cAddr<4>"  LOC = "p78"  ;
21
#NET "pin_cAddr<5>"  LOC = "p42"  ;
22
#NET "pin_cAddr<6>"  LOC = "p40"  ;
23
#NET "pin_cAddr<7>"  LOC = "p29"  ;
24
#NET "pin_cAddr<8>"  LOC = "p28"  ;
25
#NET "pin_cAddr<9>"  LOC = "p27"  ;
26
#NET "pin_cread"  LOC = "p39"  ;
27
#NET "pin_cwrite"  LOC = "p59"  ;
28
#NET "pin_cAddr<0>"  LOC = "p75"  ;
29
#NET "pin_cAddr<10>"  LOC = "p38"  ;
30
#NET "pin_cAddr<11>"  LOC = "p44"  ;
31
#NET "pin_cAddr<12>"  LOC = "p46"  ;
32
#NET "pin_cAddr<13>"  LOC = "p49"  ;
33
#NET "pin_cAddr<14>"  LOC = "p57"  ;
34
#NET "pin_cAddr<1>"  LOC = "p74"  ;
35
#NET "pin_cAddr<2>"  LOC = "p30"  ;
36
#NET "pin_cAddr<3>"  LOC = "p31"  ;
37
#NET "pin_cAddr<4>"  LOC = "p78"  ;
38
#NET "pin_cAddr<5>"  LOC = "p42"  ;
39
#NET "pin_cAddr<6>"  LOC = "p40"  ;
40
#NET "pin_cAddr<7>"  LOC = "p29"  ;
41
#NET "pin_cAddr<8>"  LOC = "p28"  ;
42
#NET "pin_cAddr<9>"  LOC = "p27"  ;
43
#NET "pin_cData<0>"  LOC = "p80"  ;
44
#NET "pin_cData<10>"  LOC = "p85"  ;
45
#NET "pin_cData<11>"  LOC = "p86"  ;
46
#NET "pin_cData<12>"  LOC = "p87"  ;
47
#NET "pin_cData<13>"  LOC = "p94"  ;
48
#NET "pin_cData<14>"  LOC = "p66"  ;
49
#NET "pin_cData<15>"  LOC = "p64"  ;
50
#NET "pin_cData<1>"  LOC = "p77"  ;
51
#NET "pin_cData<2>"  LOC = "p83"  ;
52
#NET "pin_cData<3>"  LOC = "p79"  ;
53
#NET "pin_cData<4>"  LOC = "p76"  ;
54
#NET "pin_cData<5>"  LOC = "p56"  ;
55
#NET "pin_cData<6>"  LOC = "p54"  ;
56
#NET "pin_cData<7>"  LOC = "p62"  ;
57
#NET "pin_cData<8>"  LOC = "p67"  ;
58
#NET "pin_cData<9>"  LOC = "p84"  ;
59
#NET "pin_cData<0>"  LOC = "p80"  ;
60
#NET "pin_cData<1>"  LOC = "p77"  ;
61
#NET "pin_cData<2>"  LOC = "p83"  ;
62
#NET "pin_cData<3>"  LOC = "p79"  ;
63
#NET "pin_cData<4>"  LOC = "p76"  ;
64
#NET "pin_cData<5>"  LOC = "p56"  ;
65
#NET "pin_cData<6>"  LOC = "p54"  ;
66
#NET "pin_cData<7>"  LOC = "p62"  ;
67
#NET "pin_SRCce"  LOC = "p60"  ;
68
 
69 2 zuofu
#PACE: Start of Constraints generated by PACE
70
 
71
#PACE: Start of PACE I/O Pin Assignments
72
NET "pin_ba<0>"  LOC = "p134"  ;
73
NET "pin_ba<1>"  LOC = "p137"  ;
74
NET "pin_blue<0>"  LOC = "p21"  ;
75
NET "pin_blue<1>"  LOC = "p22"  ;
76
NET "pin_cas_n"  LOC = "p126"  ;
77
NET "pin_ce_n"  LOC = "p41"  ;
78
NET "pin_cke"  LOC = "p131"  ;
79
NET "pin_clkin"  LOC = "p88"  ;
80
NET "pin_cs_n"  LOC = "p132"  ;
81 26 zuofu
NET "pin_done"  LOC = "p30"  ;
82 2 zuofu
NET "pin_dqmh"  LOC = "p124"  ;
83
NET "pin_dqml"  LOC = "p122"  ;
84
NET "pin_green<0>"  LOC = "p19"  ;
85
NET "pin_green<1>"  LOC = "p20"  ;
86
NET "pin_hsync_n"  LOC = "p23"  ;
87 26 zuofu
NET "pin_load"  LOC = "p59"  ;
88
NET "pin_port_addr<0>"  LOC = "p74"  ;
89
NET "pin_port_addr<1>"  LOC = "p75"  ;
90
NET "pin_port_addr<2>"  LOC = "p60"  ;
91
NET "pin_port_addr<3>"  LOC = "p62"  ;
92
NET "pin_port_in<0>"  LOC = "p80"  ;
93
NET "pin_port_in<1>"  LOC = "p79"  ;
94
NET "pin_port_in<2>"  LOC = "p76"  ;
95
NET "pin_port_in<3>"  LOC = "p66"  ;
96
NET "pin_port_in<4>"  LOC = "p64"  ;
97
NET "pin_port_in<5>"  LOC = "p63"  ;
98
NET "pin_port_in<6>"  LOC = "p56"  ;
99
NET "pin_port_in<7>"  LOC = "p54"  ;
100 2 zuofu
NET "pin_pushbtn"  LOC = "p93"  ;
101
NET "pin_ras_n"  LOC = "p130"  ;
102
NET "pin_red<0>"  LOC = "p12"  ;
103
NET "pin_red<1>"  LOC = "p13"  ;
104
NET "pin_sAddr<0>"  LOC = "p141"  ;
105
NET "pin_sAddr<10>"  LOC = "p139"  ;
106
NET "pin_sAddr<11>"  LOC = "p136"  ;
107
NET "pin_sAddr<1>"  LOC = "p4"  ;
108
NET "pin_sAddr<2>"  LOC = "p6"  ;
109
NET "pin_sAddr<3>"  LOC = "p10"  ;
110
NET "pin_sAddr<4>"  LOC = "p11"  ;
111
NET "pin_sAddr<5>"  LOC = "p7"  ;
112
NET "pin_sAddr<6>"  LOC = "p5"  ;
113
NET "pin_sAddr<7>"  LOC = "p3"  ;
114
NET "pin_sAddr<8>"  LOC = "p140"  ;
115
NET "pin_sAddr<9>"  LOC = "p138"  ;
116
NET "pin_sclk"  LOC = "p129"  ;
117
NET "pin_sclkfb"  LOC = "p91"  ;
118
NET "pin_sData<0>"  LOC = "p95"  ;
119
NET "pin_sData<10>"  LOC = "p116"  ;
120
NET "pin_sData<11>"  LOC = "p114"  ;
121
NET "pin_sData<12>"  LOC = "p112"  ;
122
NET "pin_sData<13>"  LOC = "p102"  ;
123
NET "pin_sData<14>"  LOC = "p100"  ;
124
NET "pin_sData<15>"  LOC = "p96"  ;
125
NET "pin_sData<1>"  LOC = "p99"  ;
126
NET "pin_sData<2>"  LOC = "p101"  ;
127
NET "pin_sData<3>"  LOC = "p103"  ;
128
NET "pin_sData<4>"  LOC = "p113"  ;
129
NET "pin_sData<5>"  LOC = "p115"  ;
130
NET "pin_sData<6>"  LOC = "p117"  ;
131
NET "pin_sData<7>"  LOC = "p120"  ;
132
NET "pin_sData<8>"  LOC = "p121"  ;
133
NET "pin_sData<9>"  LOC = "p118"  ;
134 26 zuofu
NET "pin_start"  LOC = "p31"  ;
135 2 zuofu
NET "pin_vsync_n"  LOC = "p26"  ;
136 25 zuofu
NET "pin_we_n"  LOC = "p123"  ;
137 2 zuofu
 
138
#PACE: Start of PACE Area Constraints
139
 
140
#PACE: Start of PACE Prohibit Constraints
141
 
142
#PACE: End of Constraints generated by PACE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.