OpenCores
URL https://opencores.org/ocsvn/395_vgs/395_vgs/trunk

Subversion Repositories 395_vgs

[/] [395_vgs/] [trunk/] [hdl/] [gpuchip.vhd] - Blame information for rev 10

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zuofu
--ECE395 GPU:
2
--Top Level HDL
3
--=====================================================
4
--Designed by:
5
--Zuofu Cheng
6
--James Cavanaugh
7
--Eric Sands
8
--
9
--of the University of Illinois at Urbana Champaign
10
--under the direction of Dr. Lippold Haken
11
--====================================================
12 10 zuofu
--
13
--Heavily based off of HDL examples provided by XESS Corporation
14
--www.xess.com
15
--
16 2 zuofu
--Based in part on Doug Hodson's work which in turn
17
--was based off of the XSOC from Gray Research LLC.
18
--                                                                              
19 10 zuofu
--
20 2 zuofu
--release under the GNU General Public License
21
--and kindly hosted by www.opencores.org
22
 
23
 
24
library IEEE;
25
use IEEE.std_logic_1164.all;
26
use IEEE.std_logic_unsigned.all;
27
use IEEE.numeric_std.all;
28
use WORK.common.all;
29
use WORK.xsasdram.all;
30
use WORK.sdram.all;
31 10 zuofu
use WORK.vga_pckg.all;
32 2 zuofu
 
33
entity gpuChip is
34
 
35
        generic(
36
      FREQ            :       natural                       := 50_000;  -- frequency of operation in KHz
37
      PIPE_EN         :       boolean                       := true;  -- enable fast, pipelined SDRAM operation
38
      MULTIPLE_ACTIVE_ROWS:   boolean                                                           := true;  -- if true, allow an active row in each bank
39 10 zuofu
                CLK_DIV         :       real                                                               := 1.0;  -- SDRAM Clock div
40 2 zuofu
                NROWS           :       natural                       := 4096;  -- number of rows in the SDRAM
41
      NCOLS           :       natural                       := 512;  -- number of columns in each SDRAM row
42
        SADDR_WIDTH      :              natural                                                         := 12;
43 10 zuofu
                DATA_WIDTH      :       natural                                                                 := 16;  -- SDRAM databus width
44
                ADDR_WIDTH      :       natural                                                                 := 23;  -- host-side address width
45
                VGA_CLK_DIV     :       natural                                                                 := 2;  -- pixel clock = FREQ / CLK_DIV
46
        PIXEL_WIDTH     :       natural                                                                 := 8;  -- width of a pixel in memory
47
        NUM_RGB_BITS    :       natural                                                                 := 2;  -- #bits in each R,G,B component of a pixel
48
        PIXELS_PER_LINE :       natural                                                                 := 640;  -- width of image in pixels
49
        LINES_PER_FRAME :       natural                                                                 := 480;  -- height of image in scanlines
50
        FIT_TO_SCREEN   :       boolean                                                                 := true;  -- adapt video timing to fit image width x             
51 2 zuofu
           PORT_TIME_SLOTS :       std_logic_vector(15 downto 0) := "0000000000000000"
52
   );
53
 
54
        port(
55
                pin_clkin   : in std_logic;       -- main clock input from external clock source
56
                pin_ce_n    : out std_logic;      -- Flash RAM chip-enable
57
                pin_pushbtn : in std_logic;
58
 
59
                -- vga port connections
60
                pin_red     : out std_logic_vector(1 downto 0);
61
                pin_green   : out std_logic_vector(1 downto 0);
62
                pin_blue    : out std_logic_vector(1 downto 0);
63
                pin_hsync_n : out std_logic;
64
                pin_vsync_n : out std_logic;
65
 
66
                -- SDRAM pin connections
67
                pin_sclkfb : in std_logic;                   -- feedback SDRAM clock with PCB delays
68
                pin_sclk   : out std_logic;                  -- clock to SDRAM
69
                pin_cke    : out std_logic;                  -- SDRAM clock-enable
70
                pin_cs_n   : out std_logic;                  -- SDRAM chip-select
71
                pin_ras_n  : out std_logic;                  -- SDRAM RAS
72
                pin_cas_n  : out std_logic;                  -- SDRAM CAS
73
                pin_we_n   : out std_logic;                  -- SDRAM write-enable
74
                pin_ba     : out std_logic_vector( 1 downto 0);      -- SDRAM bank-address
75
                pin_sAddr  : out std_logic_vector(11 downto 0);      -- SDRAM address bus
76
                pin_sData  : inout std_logic_vector (16-1 downto 0);  -- data bus to SDRAM
77
                pin_dqmh   : out std_logic;                  -- SDRAM DQMH
78
                pin_dqml   : out std_logic                   -- SDRAM DQML                      
79
        );
80
end gpuChip;
81
 
82
architecture arch of gpuChip is
83
 
84
        constant YES:   std_logic := '1';
85
        constant NO:    std_logic := '0';
86
        constant HI:    std_logic := '1';
87
        constant LO:    std_logic := '0';
88
 
89
        --internal signals
90 10 zuofu
   signal sysClk                                                                                : std_logic;  -- system clock
91
   signal sysReset                                                                              : std_logic;  -- system reset
92 2 zuofu
 
93
 
94
         --Application Side Signals for the DualPort Controller
95 10 zuofu
        signal rst_i                                                                                    : std_logic;    --tied reset signal
96 2 zuofu
   signal opBegun0, opBegun1                       : std_logic;  -- read/write operation started indicator
97
   signal earlyOpBegun0, earlyOpBegun1          : std_logic;  -- read/write operation started indicator
98
   signal rdPending0, rdPending1                                           : std_logic;  -- read operation pending in SDRAM pipeline indicator
99
   signal done0, done1                               : std_logic;  -- read/write operation complete indicator
100
   signal rdDone0, rdDone1                                     : std_logic;  -- read operation complete indicator
101
   signal hAddr0, hAddr1                                 : std_logic_vector(ADDR_WIDTH-1 downto 0);  -- host-side address bus
102
   signal hDIn0, hDIn1                                    : std_logic_vector(DATA_WIDTH-1 downto 0);  -- host-side data to SDRAM
103
   signal hDOut0, hDOut1                                                      : std_logic_vector(DATA_WIDTH-1 downto 0);  -- host-side data from SDRAM
104
   signal rd0, rd1                                      : std_logic;  -- host-side read control signal
105
   signal wr0, wr1                              : std_logic;  -- host-side write control signal
106 10 zuofu
 
107 2 zuofu
        -- SDRAM host side signals
108 10 zuofu
        signal sdram_bufclk                                                                     : std_logic;    -- buffered input (non-DLL) clock
109
        signal sdram_clk1x                                                                      : std_logic;    -- internal master clock signal
110
        signal sdram_clk2x                                                                      : std_logic;            -- doubled clock
111
        signal sdram_lock                                                                       : std_logic;    -- SDRAM clock DLL lock indicator
112
        signal sdram_rst                                                                        : std_logic;    -- internal reset signal
113
        signal sdram_rd                                                                         : std_logic;    -- host-side read control signal
114
        signal sdram_wr                                                                         : std_logic;    -- host-side write control signal
115
        signal sdram_earlyOpBegun                                                       : std_logic;
116
        signal sdram_OpBegun                                                                    : std_logic;
117
        signal sdram_rdPending                                                          : std_logic;
118
        signal sdram_done                                                                       : std_logic;    -- SDRAM operation complete indicator
119
        signal sdram_rdDone                                                                     : std_logic;            -- host-side read completed signal
120
        signal sdram_hAddr                                                                      : std_logic_vector(ADDR_WIDTH -1 downto 0);  -- host address bus
121
        signal sdram_hDIn                                                                       : std_logic_vector(DATA_WIDTH -1 downto 0);      -- host-side data to SDRAM
122
        signal sdram_hDOut                                                                      : std_logic_vector(DATA_WIDTH -1 downto 0);      -- host-side data from SDRAM
123
        signal sdram_status                                                                     : std_logic_vector(3 downto 0);  -- SDRAM controller status
124 2 zuofu
 
125 10 zuofu
 
126
        -- VGA related signals
127
        signal eof                                                                              : std_logic;      -- end-of-frame signal from VGA controller
128
   signal full                                                                                          : std_logic;      -- indicates when the VGA pixel buffer is full
129
   signal vga_address                                                           : unsigned(ADDR_WIDTH-1 downto 0);  -- SDRAM address counter 
130
        signal rst_n                                                                                    : std_logic;            --VGA reset (active low)
131 2 zuofu
--------------------------------------------------------------------------------------------------------------
132
-- Beginning of Submodules
133
-- All instances of submodules and signals associated with them
134
-- are declared within. Signals not directly associated with
135
-- submodules are declared elsewhere.
136
--  
137
--------------------------------------------------------------------------------------------------------------
138
 
139
begin
140
 ------------------------------------------------------------------------
141
 -- Instantiate the dualport module
142
 ------------------------------------------------------------------------
143
  u1 : dualport
144
    generic map(
145
      PIPE_EN         => PIPE_EN,
146
      PORT_TIME_SLOTS => PORT_TIME_SLOTS,
147
      DATA_WIDTH      => DATA_WIDTH,
148
      HADDR_WIDTH     => ADDR_WIDTH
149
      )
150
    port map(
151
      clk             => sdram_clk1x,
152
 
153
                -- Memory Port 0 connections
154
                rst0            => rst_i,
155
      rd0             => rd0,
156
      wr0             => wr0,
157
      rdPending0      => rdPending0,
158
      opBegun0        => opBegun0,
159
      earlyOpBegun0   => earlyOpBegun0,
160
      rdDone0         => rdDone0,
161
      done0           => done0,
162
      hAddr0          => hAddr0,
163
      hDIn0           => hDIn0,
164
      hDOut0          => hDOut0,
165
      status0         => open,
166
 
167
                -- Memory Port 1 connections
168
      rst1            => rst_i,
169
      rd1             => rd1,
170
      wr1             => wr1,
171
      rdPending1      => rdPending1,
172
      opBegun1        => opBegun1,
173
      earlyOpBegun1   => earlyOpBegun1,
174
      rdDone1         => rdDone1,
175
      done1           => done1,
176
      hAddr1          => hAddr1,
177
      hDIn1           => hDIn1,
178
      hDOut1          => hDOut1,
179
      status1         => open,
180
      -- connections to the SDRAM controller
181
      rst             => sdram_rst,
182
      rd              => sdram_rd,
183
      wr              => sdram_wr,
184
      rdPending       => sdram_rdPending,
185
      opBegun         => sdram_opBegun,
186
      earlyOpBegun    => sdram_earlyOpBegun,
187
      rdDone          => sdram_rdDone,
188
      done            => sdram_done,
189
      hAddr           => sdram_hAddr,
190
      hDIn            => sdram_hDIn,
191
      hDOut           => sdram_hDOut,
192
      status          => sdram_status
193
      );
194
 
195
 
196
  ------------------------------------------------------------------------
197
  -- Instantiate the SDRAM controller that connects to the dualport
198
  -- module and interfaces to the external SDRAM chip.
199
  ------------------------------------------------------------------------
200
  u2 : xsaSDRAMCntl
201
    generic map(
202
      FREQ                                        => FREQ,
203
      CLK_DIV                                     => CLK_DIV,
204
      PIPE_EN                        => PIPE_EN,
205
      MULTIPLE_ACTIVE_ROWS   => MULTIPLE_ACTIVE_ROWS,
206
                DATA_WIDTH                        => DATA_WIDTH,
207
      NROWS                               => NROWS,
208
      NCOLS                               => NCOLS,
209
      HADDR_WIDTH                         => ADDR_WIDTH,
210
      SADDR_WIDTH                         => SADDR_WIDTH
211
      )
212
    port map(
213
                --Dual Port Controller (Host) Side
214
      clk          => pin_clkin,             -- master clock from external clock source (unbuffered)
215
      bufclk       => sdram_bufclk,                -- buffered master clock output
216
      clk1x        => sdram_clk1x,                 -- synchronized master clock (accounts for delays to external SDRAM)
217
      clk2x        => sdram_clk2x,              -- synchronized doubled master clock
218
      lock         => sdram_lock,                       -- DLL lock indicator
219
      rst          => sdram_rst,                        -- reset
220
      rd           => sdram_rd,                         -- host-side SDRAM read control from dualport
221
      wr           => sdram_wr,                         -- host-side SDRAM write control from dualport
222
      earlyOpBegun => sdram_earlyOpBegun,               -- early indicator that memory operation has begun 
223
                opBegun      => sdram_opBegun,          -- indicates memory read/write has begun
224
                rdPending    => sdram_rdPending,                -- read operation to SDRAM is in progress
225
      done         => sdram_done,                       -- indicates SDRAM memory read or write operation is done
226
      rdDone       => sdram_rdDone,                     -- indicates SDRAM memory read operation is done
227
      hAddr        => sdram_hAddr,           -- host-side address from dualport to SDRAM
228
      hDIn         => sdram_hDIn,            -- test data pattern from dualport to SDRAM
229
      hDOut        => sdram_hDOut,           -- SDRAM data output to dualport
230
      status       => sdram_status,          -- SDRAM controller state (for diagnostics)
231
 
232
           --SDRAM (External) Side
233
                sclkfb       => pin_sclkfb,           -- clock feedback with added external PCB delays
234
      sclk         => pin_sclk,             -- synchronized clock to external SDRAM
235
      cke          => pin_cke,              -- SDRAM clock enable
236
      cs_n         => pin_cs_n,             -- SDRAM chip-select
237
      ras_n        => pin_ras_n,            -- SDRAM RAS
238
      cas_n        => pin_cas_n,            -- SDRAM CAS
239
      we_n         => pin_we_n,             -- SDRAM write-enable
240
      ba           => pin_ba,               -- SDRAM bank address
241
      sAddr        => pin_sAddr,            -- SDRAM address
242
      sData        => pin_sData,            -- SDRAM databus
243
      dqmh         => pin_dqmh,             -- SDRAM DQMH
244
      dqml         => pin_dqml              -- SDRAM DQML
245
      );
246
 
247
 
248
  ------------------------------------------------------------------------
249
  -- Instantiate the VGA module
250
  ------------------------------------------------------------------------
251 10 zuofu
        u3 : vga
252
    generic map (
253
      FREQ            => FREQ,
254
      CLK_DIV         => VGA_CLK_DIV,
255
      PIXEL_WIDTH     => PIXEL_WIDTH,
256
      PIXELS_PER_LINE => PIXELS_PER_LINE,
257
      LINES_PER_FRAME => LINES_PER_FRAME,
258
      NUM_RGB_BITS    => NUM_RGB_BITS,
259
      FIT_TO_SCREEN   => FIT_TO_SCREEN
260
      )
261
    port map (
262
      rst             => rst_i,
263
      clk             => sdram_clk1x,   -- use the resync'ed master clock so VGA generator is in sync with SDRAM
264
      wr              => rdDone0,       -- write to pixel buffer when the data read from SDRAM is available
265
      pixel_data_in   => hDOut0,                 -- pixel data from SDRAM
266
      full            => full,          -- indicates when the pixel buffer is full
267
      eof             => eof,           -- indicates when the VGA generator has finished a video frame
268
      r               => pin_red,       -- RGB components (output)
269
      g               => pin_green,
270
      b               => pin_blue,
271
      hsync_n         => pin_hsync_n,   -- horizontal sync
272
      vsync_n         => pin_vsync_n,   -- vertical sync
273
      blank           => open
274
      );
275 2 zuofu
--------------------------------------------------------------------------------------------------------------
276
-- End of Submodules
277
--------------------------------------------------------------------------------------------------------------
278
-- Begin Top Level Module
279 10 zuofu
 
280
-- connect internal signals     
281 2 zuofu
        rst_i <= sysReset;
282 10 zuofu
        pin_ce_n <= '1';                                                        -- disable Flash RAM
283
        rd0 <= not full;                                        -- negate the full signal for use in controlling the SDRAM read operation
284
        hDIn0 <= "0000000000000000000000";      -- don't need to write to port 0 (VGA Port)
285
        wr0 <= '0';
286
        hAddr0 <= std_logic_vector(vga_address);
287 2 zuofu
 
288 10 zuofu
        -- Port0 is reserved for VGA    
289 2 zuofu
 
290 10 zuofu
   -- update the SDRAM address counter
291
   process(sdram_clk1x)
292
   begin
293
     if rising_edge(sdram_clk1x) then
294
       if eof = YES then
295
         vga_address <= "00000000000000000000000";  -- reset the address at the end of a video frame
296
       elsif earlyOpBegun0 = YES then
297
         vga_address <= vga_address + 1;         -- go to the next address once the read of the current address has begun
298
       end if;
299
     end if;
300
   end process;
301 2 zuofu
 
302 10 zuofu
        --process reset circuitry
303 2 zuofu
        process(sdram_bufclk)
304
        begin
305
                if (rising_edge(sdram_bufclk)) then
306
                        if sdram_lock='0' then
307
                                sysReset <= '1';     -- keep in reset until DLLs start up
308
                        else
309
                                --sysReset <= '0';
310
                                sysReset <= not pin_pushbtn;  -- push button will reset
311
                        end if;
312
                end if;
313
        end process;
314 10 zuofu
 
315 2 zuofu
 
316
end arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.