OpenCores
URL https://opencores.org/ocsvn/3des_vhdl/3des_vhdl/trunk

Subversion Repositories 3des_vhdl

[/] [3des_vhdl/] [trunk/] [VHDL/] [add_key.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dsocek
---------------------------------------------------------------------
2
--                              (c) Copyright 2006, CoreTex Systems, LLC                                         --
3
--                                 www.coretexsys.com                        --    
4
--                                                                       --
5
--              This source file may be used and distributed without         --
6
--              restriction provided that this copyright statement is not    --
7
--              removed from the file and that any derivative work contains  --
8
--              the original copyright notice and the associated disclaimer. --
9
--                                                                       --
10
--                  THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY      --
11
--              EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED    --
12
--              TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS    --
13
--              FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR       --
14
--              OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,          --
15
--              INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES     --
16
--              (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE    --
17
--              GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR         --
18
--              BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF   --
19
--              LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT   --
20
--              (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT   --
21
--              OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE          --
22
--              POSSIBILITY OF SUCH DAMAGE.                                  --
23
--                                                                                                                                                                               --
24
---------------------------------------------------------------------
25
 
26
----------------------------------------------------------------------
27
 
28
-- Poject structure: 
29
 
30
--  |- tdes_top.vhd
31
--  |
32
--    |- des_cipher_top.vhd
33
--    |- des_top.vhd
34
--      |- block_top.vhd
35
--        |- add_key.vhd
36
--        |
37
--        |- add_left.vhd
38
--        |
39
--                              |- e_expansion_function.vhd
40
--                              |
41
--                              |- p_box.vhd
42
--                              |
43
--                              |- s_box.vhd
44
--            |- s1_box.vhd
45
--            |- s2_box.vhd
46
--            |- s3_box.vhd
47
--            |- s4_box.vhd
48
--            |- s5_box.vhd
49
--            |- s6_box.vhd
50
--            |- s7_box.vhd
51
--            |- s8_box.vhd
52
--    |- key_schedule.vhd
53
 
54
----------------------------------------------------------------------
55
 
56
---------------------------------------------------------------------------------------------------
57
--
58
-- Title       : add_key
59
-- Company     : CoreTex Systems, LLC
60
--
61
---------------------------------------------------------------------------------------------------
62
 
63
library IEEE;
64
use IEEE.STD_LOGIC_1164.ALL;
65
use IEEE.STD_LOGIC_ARITH.ALL;
66
use IEEE.STD_LOGIC_UNSIGNED.ALL;
67
 
68
entity add_key is
69
port(
70
                x0_in: in std_logic_vector(0 to 5);
71
                        x1_in: in std_logic_vector(0 to 5);
72
                        x2_in: in std_logic_vector(0 to 5);
73
                        x3_in: in std_logic_vector(0 to 5);
74
                        x4_in: in std_logic_vector(0 to 5);
75
                        x5_in: in std_logic_vector(0 to 5);
76
                        x6_in: in std_logic_vector(0 to 5);
77
                        x7_in: in std_logic_vector(0 to 5);
78
                        key: in std_logic_vector(0 to 47);
79
                        x0_out: out std_logic_vector(5 downto 0);
80
                        x1_out: out std_logic_vector(5 downto 0);
81
                        x2_out: out std_logic_vector(5 downto 0);
82
                        x3_out: out std_logic_vector(5 downto 0);
83
                        x4_out: out std_logic_vector(5 downto 0);
84
                        x5_out: out std_logic_vector(5 downto 0);
85
                        x6_out: out std_logic_vector(5 downto 0);
86
                        x7_out: out std_logic_vector(5 downto 0)
87
        );
88
end add_key;
89
 
90
 
91
architecture Behavioral of add_key is
92
begin
93
 
94
        x0_out <= x0_in xor key(0 to 5);
95
        x1_out <= x1_in xor key(6 to 11);
96
        x2_out <= x2_in xor key(12 to 17);
97
        x3_out <= x3_in xor key(18 to 23);
98
        x4_out <= x4_in xor key(24 to 29);
99
        x5_out <= x5_in xor key(30 to 35);
100
        x6_out <= x6_in xor key(36 to 41);
101
        x7_out <= x7_in xor key(42 to 47);
102
 
103
end Behavioral;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.