OpenCores
URL https://opencores.org/ocsvn/3des_vhdl/3des_vhdl/trunk

Subversion Repositories 3des_vhdl

[/] [3des_vhdl/] [trunk/] [VHDL/] [p_box.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dsocek
---------------------------------------------------------------------
2
--                              (c) Copyright 2006, CoreTex Systems, LLC                                         --
3
--                                 www.coretexsys.com                        --    
4
--                                                                       --
5
--              This source file may be used and distributed without         --
6
--              restriction provided that this copyright statement is not    --
7
--              removed from the file and that any derivative work contains  --
8
--              the original copyright notice and the associated disclaimer. --
9
--                                                                       --
10
--                  THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY      --
11
--              EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED    --
12
--              TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS    --
13
--              FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR       --
14
--              OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,          --
15
--              INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES     --
16
--              (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE    --
17
--              GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR         --
18
--              BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF   --
19
--              LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT   --
20
--              (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT   --
21
--              OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE          --
22
--              POSSIBILITY OF SUCH DAMAGE.                                  --
23
--                                                                                                                                                                               --
24
---------------------------------------------------------------------
25
 
26
----------------------------------------------------------------------
27
 
28
-- Poject structure: 
29
 
30
--  |- tdes_top.vhd
31
--  |
32
--    |- des_cipher_top.vhd
33
--    |- des_top.vhd
34
--      |- block_top.vhd
35
--        |- add_key.vhd
36
--        |
37
--        |- add_left.vhd
38
--        |
39
--                              |- e_expansion_function.vhd
40
--                              |
41
--                              |- p_box.vhd
42
--                              |
43
--                              |- s_box.vhd
44
--            |- s1_box.vhd
45
--            |- s2_box.vhd
46
--            |- s3_box.vhd
47
--            |- s4_box.vhd
48
--            |- s5_box.vhd
49
--            |- s6_box.vhd
50
--            |- s7_box.vhd
51
--            |- s8_box.vhd
52
--    |- key_schedule.vhd
53
 
54
----------------------------------------------------------------------
55
 
56
---------------------------------------------------------------------------------------------------
57
--
58
-- Title       : p_box
59
-- Company     : CoreTex Systems, LLC
60
--
61
---------------------------------------------------------------------------------------------------
62
 
63
library IEEE;
64
use IEEE.STD_LOGIC_1164.ALL;
65
use IEEE.STD_LOGIC_ARITH.ALL;
66
use IEEE.STD_LOGIC_UNSIGNED.ALL;
67
 
68
entity p_box is
69
port(
70
                x0_in: in std_logic_vector(3 downto 0);
71
                        x1_in: in std_logic_vector(3 downto 0);
72
                        x2_in: in std_logic_vector(3 downto 0);
73
                        x3_in: in std_logic_vector(3 downto 0);
74
                        x4_in: in std_logic_vector(3 downto 0);
75
                        x5_in: in std_logic_vector(3 downto 0);
76
                        x6_in: in std_logic_vector(3 downto 0);
77
                        x7_in: in std_logic_vector(3 downto 0);
78
                        x_out: out std_logic_vector(0 to 31)
79
        );
80
end p_box;
81
 
82
architecture Behavioral of p_box is
83
 
84
signal x_in: std_logic_vector(0 to 31);
85
 
86
begin
87
 
88
                x_in    <= x0_in & x1_in & x2_in & x3_in & x4_in & x5_in & x6_in & x7_in;
89
                x_out <= x_in(15) & x_in(6) & x_in(19) & x_in(20) & x_in(28) & x_in(11) &
90
                                        x_in(27) & x_in(16) & x_in(0) & x_in(14) & x_in(22) & x_in(25) &
91
                                        x_in(4) & x_in(17) & x_in(30) & x_in(9) & x_in(1) & x_in(7) &
92
                                        x_in(23) & x_in(13) & x_in(31) & x_in(26) & x_in(2) & x_in(8) &
93
                                        x_in(18) & x_in(12) & x_in(29) & x_in(5) & x_in(21) & x_in(10) &
94
                                        x_in(3) & x_in(24);
95
 
96
end Behavioral;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.