OpenCores
URL https://opencores.org/ocsvn/6809_6309_compatible_core/6809_6309_compatible_core/trunk

Subversion Repositories 6809_6309_compatible_core

[/] [6809_6309_compatible_core/] [trunk/] [syn/] [lattice/] [P6809/] [automake.log] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 ale500
 
2
synpwrap -prj "P6809_P6809_synplify.tcl" -log "P6809_P6809.srf"
3
 
4
*****************************************************************
5
 
6
Warning: You are running on an unsupported platform
7
  'synplify_pro' only supports Red Hat Enterprise Linux 4.0 and above
8
 
9
  current platform: CentOS release 6.4 (Final)
10
Kernel \r on an \m
11
 
12
*****************************************************************
13
 
14
Running in Lattice mode
15
 
16
 
17
Starting:    /usr/local/diamond/2.2_x64/synpbase/linux_a_64/mbin/synbatch
18
Install:     /usr/local/diamond/2.2_x64/synpbase
19 6 ale500
Date:        Wed Jan  1 11:05:21 2014
20 4 ale500
Version:     G-2012.09L-SP1
21
 
22
Arguments:   -product synplify_pro  -batch P6809_P6809_synplify.tcl
23
ProductType: synplify_pro
24
 
25
 
26
 
27
 
28
 
29
 
30
log file: "/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.srr"
31
 
32
 
33
Running proj_1|P6809
34
 
35
Running Compile on proj_1|P6809
36
 
37
Running Compile Process on proj_1|P6809
38
 
39
Running Compile Input on proj_1|P6809
40
 
41
Copied /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/synwork/P6809_P6809_compiler.srs to /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.srs
42
 
43
compiler Completed with warnings
44
Return Code: 1
45 6 ale500
Run Time:00h:00m:04s
46 4 ale500
 
47
 
48
Copied /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.srr to /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.srf
49
 
50
Job Compile Process completed on proj_1|P6809
51
 
52
Running Premap on proj_1|P6809
53
 
54
premap Completed with warnings
55
Return Code: 1
56 6 ale500
Run Time:00h:00m:00s
57 4 ale500
 
58
 
59
Job Compile completed on proj_1|P6809
60
 
61
Running Map on proj_1|P6809
62
 
63
Running Map & Optimize on proj_1|P6809
64
 
65
fpga_mapper Completed with warnings
66
Return Code: 1
67 6 ale500
Run Time:00h:00m:16s
68 4 ale500
 
69
 
70
Job Map completed on proj_1|P6809
71
 
72
Copied /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.srr to /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.srf
73
 
74
Job Logic Synthesis completed on proj_1|P6809
75
 
76
TCL script complete: "P6809_P6809_synplify.tcl"
77
 
78
exit status=0
79
 
80
 
81
Copyright (C) 1992-2013 Lattice Semiconductor Corporation. All rights reserved.
82
Lattice Diamond Version 2.2.0.101
83
Child process exit with 0.
84
 
85
==contents of P6809_P6809.srf
86
#Build: Synplify Pro G-2012.09L-SP1 , Build 029R, Mar 11 2013
87
#install: /usr/local/diamond/2.2_x64/synpbase
88
#OS: Linux
89
#Hostname: node01.pacito.sys
90
 
91
#Implementation: P6809
92
 
93
$ Start of Compile
94 6 ale500
#Wed Jan  1 11:05:21 2014
95 4 ale500
 
96
Synopsys Verilog Compiler, version comp201209rcp1, Build 271R, built Mar 11 2013
97
@N|Running in 64-bit mode
98
Copyright (C) 1994-2012 Synopsys, Inc. This software the associated documentation are confidential and proprietary to Synopsys, Inc. Your use or disclosure of this software subject to the terms and conditions of a written license agreement between you, or your company, and Synopsys, Inc.
99
 
100
@I::"/usr/local/diamond/2.2_x64/synpbase/lib/lucent/machxo2.v"
101
@I::"/usr/local/diamond/2.2_x64/synpbase/lib/lucent/pmi_def.v"
102
@I::"/usr/local/diamond/2.2_x64/synpbase/lib/vlog/umr_capim.v"
103
@I::"/usr/local/diamond/2.2_x64/synpbase/lib/vlog/scemi_objects.v"
104
@I::"/usr/local/diamond/2.2_x64/synpbase/lib/vlog/scemi_pipes.svh"
105
@I::"/usr/local/diamond/2.2_x64/synpbase/lib/vlog/hypermods.v"
106
@I::"/usr/local/diamond/2.2_x64/cae_library/synthesis/verilog/machxo2.v"
107
@I::"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v"
108
@I::"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v"
109
@I:"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/defs.v"
110
@I::"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v"
111
@I::"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v"
112 6 ale500
@W: CG289 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":727:23:727:27|Specified digits overflow the number's size
113 4 ale500
@I::"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v"
114
@I::"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/bios2k.v"
115
Verilog syntax check successful!
116 6 ale500
Options changed - recompiling
117 4 ale500
Selecting top level module CC3_top
118 6 ale500
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":57:7:57:10|Synthesizing module alu8
119 4 ale500
 
120 6 ale500
@W: CG532 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":319:0:319:6|Initial statement will only initialize memories through the usage of $readmemh and $readmemb. Everything else is ignored
121
@W: CG360 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":85:5:85:12|No assignment to wire cadd16_w
122
 
123
@W: CG360 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":85:15:85:22|No assignment to wire cadc16_w
124
 
125
@W: CG360 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":85:25:85:32|No assignment to wire csub16_w
126
 
127
@W: CG360 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":85:35:85:42|No assignment to wire csbc16_w
128
 
129
@W: CG133 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":184:12:184:13|No assignment to n8
130
@W: CG133 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":184:20:184:21|No assignment to z8
131
@W: CL169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":301:0:301:5|Pruning register regq8[7:0]
132
 
133
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":650:7:650:12|Synthesizing module mul8x8
134
 
135
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":325:7:325:11|Synthesizing module alu16
136
 
137
@W: CG532 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":645:0:645:6|Initial statement will only initialize memories through the usage of $readmemh and $readmemb. Everything else is ignored
138
@W: CG360 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":433:12:433:18|No assignment to wire q16_mul
139
 
140
@W: CL169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":561:0:561:5|Pruning register regq16[15:0]
141
 
142
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":15:7:15:9|Synthesizing module alu
143
 
144
@W: CS263 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":32:18:32:28|Port-width mismatch for port a_in. Formal has width 16, Actual 8
145
@W: CS263 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":32:30:32:40|Port-width mismatch for port b_in. Formal has width 16, Actual 8
146 4 ale500
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":7:7:7:14|Synthesizing module regblock
147
 
148 6 ale500
@W: CG532 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":243:0:243:6|Initial statement will only initialize memories through the usage of $readmemh and $readmemb. Everything else is ignored
149 4 ale500
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v":9:7:9:17|Synthesizing module decode_regs
150
 
151 5 ale500
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v":131:7:131:15|Synthesizing module decode_op
152 4 ale500
 
153 5 ale500
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v":259:7:259:15|Synthesizing module decode_ea
154 4 ale500
 
155 5 ale500
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v":285:7:285:16|Synthesizing module decode_alu
156 4 ale500
 
157 5 ale500
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v":358:7:358:20|Synthesizing module test_condition
158 4 ale500
 
159
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":10:7:10:16|Synthesizing module MC6809_cpu
160
 
161 6 ale500
@N: CG793 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":445:6:445:13|Ignoring system task $display
162
@W: CG532 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":1017:0:1017:6|Initial statement will only initialize memories through the usage of $readmemh and $readmemb. Everything else is ignored
163
@W: CG360 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":64:11:64:23|No assignment to wire alu8_o_result
164 4 ale500
 
165 6 ale500
@W: CG360 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":65:11:65:20|No assignment to wire alu8_o_CCR
166
 
167
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal next_state[5:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
168
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal next_push_state[5:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
169
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal next_mem_state[5:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
170
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_write_tfr -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
171
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_write_post_incdec -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
172
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_write_pc -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
173
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_write_exg -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
174
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_write_dest -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
175
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_set_e -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
176
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_pp_regs[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
177
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_pp_active_reg[3:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
178
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_postbyte[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
179
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_p3_valid -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
180
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_p2_valid -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
181
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_opcode[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
182
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_ofslo[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
183
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_ofshi[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
184
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_mul_cnt -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
185
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_memlo[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
186
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_memhi[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
187
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_mem_dest[1:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
188
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_ind_ea[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
189
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_inc_su -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
190
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_inc_pc -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
191
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_forced_mem_size -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
192
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_ealo[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
193
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_eahi[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
194
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_dec_su -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
195
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_cpu_we -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
196
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_cpu_oe -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
197
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_cpu_data_o[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
198
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_cpu_addr[15:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
199
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Feedback mux created for signal k_clear_e -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
200
@W: CL189 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Register bit k_mem_dest[0] is always 1, optimizing ...
201
@W: CL189 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Register bit k_mem_dest[1] is always 0, optimizing ...
202
@W: CL189 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Register bit next_mem_state[1] is always 0, optimizing ...
203
@W: CL189 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Register bit next_mem_state[2] is always 0, optimizing ...
204
@W: CL279 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Pruning register bits 2 to 1 of next_mem_state[5:0]
205
 
206 4 ale500
@N: CG364 :"/usr/local/diamond/2.2_x64/cae_library/synthesis/verilog/machxo2.v":1120:7:1120:9|Synthesizing module VHI
207
 
208
@N: CG364 :"/usr/local/diamond/2.2_x64/cae_library/synthesis/verilog/machxo2.v":1291:7:1291:11|Synthesizing module DP8KC
209
 
210
@N: CG364 :"/usr/local/diamond/2.2_x64/cae_library/synthesis/verilog/machxo2.v":1124:7:1124:9|Synthesizing module VLO
211
 
212
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/bios2k.v":8:7:8:12|Synthesizing module bios2k
213
 
214
@W: CL168 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/bios2k.v":28:8:28:21|Pruning instance scuba_vhi_inst -- not in use ...
215
 
216
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v":10:7:10:13|Synthesizing module CC3_top
217
 
218
@W: CG133 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v":31:14:31:21|No assignment to clk_div2
219
@W: CG360 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v":36:25:36:35|No assignment to wire cpu1_addr_o
220
 
221
@W: CG360 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v":37:40:37:51|No assignment to wire cpu1_data_in
222
 
223
@W: CG360 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v":37:54:37:66|No assignment to wire cpu1_data_out
224
 
225
@W: CG360 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v":38:23:38:29|No assignment to wire cpu1_we
226
 
227
@W: CG360 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v":38:32:38:38|No assignment to wire cpu1_oe
228
 
229
@W: CL156 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v":37:54:37:66|*Input cpu1_data_out[7:0] to expression [instance] has undriven bits that are tied to 0 -- simulation mismatch possible.
230
@W: CL156 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v":36:25:36:35|*Input cpu1_addr_o[10:0] to expression [instance] has undriven bits that are tied to 0 -- simulation mismatch possible.
231 6 ale500
@W: CL279 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Pruning register bits 5 to 3 of next_push_state[5:0]
232 4 ale500
 
233 5 ale500
@W: CL246 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v":362:18:362:20|Input port bits 7 to 4 of CCR[7:0] are unused
234 4 ale500
 
235 5 ale500
@W: CL246 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v":287:18:287:26|Input port bits 5 to 4 of postbyte0[7:0] are unused
236 4 ale500
 
237 5 ale500
@W: CL246 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v":260:18:260:27|Input port bits 6 to 5 of eapostbyte[7:0] are unused
238 4 ale500
 
239 6 ale500
@W: CL246 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":329:18:329:20|Input port bits 7 to 4 of CCR[7:0] are unused
240
 
241
@W: CL279 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":660:0:660:5|Pruning register bits 15 to 13 of pipe0[15:0]
242
 
243
@W: CL189 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":660:0:660:5|Register bit pipe0[12] is always 0, optimizing ...
244
@W: CL260 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":660:0:660:5|Pruning register bit 12 of pipe0[12:0]
245
 
246
@W: CL246 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":59:19:59:22|Input port bits 15 to 8 of a_in[15:0] are unused
247
 
248
@W: CL246 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":60:19:60:22|Input port bits 15 to 8 of b_in[15:0] are unused
249
 
250
@W: CL159 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":58:12:58:17|Input clk_in is unused
251 4 ale500
@END
252 6 ale500
Process took 0h:00m:02s realtime, 0h:00m:02s cputime
253
# Wed Jan  1 11:05:23 2014
254 4 ale500
 
255
###########################################################]
256
Premap Report
257
 
258
Synopsys Lattice Technology Pre-mapping, Version maplat, Build 618R, Built Mar 14 2013 09:13:46
259
Copyright (C) 1994-2012, Synopsys Inc. This software the associated documentation are confidential and proprietary to Synopsys, Inc. Your use or disclosure of this software subject to the terms and conditions of a written license agreement between you, or your company, and Synopsys, Inc.
260
Product Version G-2012.09L-SP1
261
 
262
Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 91MB peak: 92MB)
263
 
264
@L: /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809_scck.rpt
265
Printing clock  summary report in "/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809_scck.rpt" file
266
@N: MF248 |Running in 64-bit mode.
267
@N: MF666 |Clock conversion enabled
268
 
269 5 ale500
Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 94MB peak: 95MB)
270 4 ale500
 
271
 
272 5 ale500
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 94MB peak: 95MB)
273 4 ale500
 
274
 
275
Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 108MB peak: 109MB)
276
 
277
 
278
Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 108MB peak: 111MB)
279
 
280
 
281
 
282
Clock Summary
283
**************
284
 
285
Start                             Requested     Requested     Clock                              Clock
286
Clock                             Frequency     Period        Type                               Group
287
--------------------------------------------------------------------------------------------------------------------
288
CC3_top|clk40_i                   1.0 MHz       1000.000      inferred                           Inferred_clkgroup_0
289
CC3_top|cpu_clk_derived_clock     1.0 MHz       1000.000      derived (from CC3_top|clk40_i)     Inferred_clkgroup_0
290
====================================================================================================================
291
 
292
@W: MT529 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/bios2k.v":74:10:74:21|Found inferred clock CC3_top|clk40_i which controls 1 sequential elements including bios.bios2k_0_0_1. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance.
293
 
294
syn_allowed_resources : blockrams=26  set on top level netlist CC3_top
295
Finished Pre Mapping Phase.Pre-mapping successful!
296
 
297
At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 136MB)
298
 
299
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
300 6 ale500
# Wed Jan  1 11:05:25 2014
301 4 ale500
 
302
###########################################################]
303
Map & Optimize Report
304
 
305
Synopsys Lattice Technology Mapper, Version maplat, Build 618R, Built Mar 14 2013 09:13:46
306
Copyright (C) 1994-2012, Synopsys Inc. This software the associated documentation are confidential and proprietary to Synopsys, Inc. Your use or disclosure of this software subject to the terms and conditions of a written license agreement between you, or your company, and Synopsys, Inc.
307
Product Version G-2012.09L-SP1
308
 
309
Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 91MB peak: 92MB)
310
 
311
@N: MF248 |Running in 64-bit mode.
312
@N: MF666 |Clock conversion enabled
313
 
314
Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 91MB peak: 92MB)
315
 
316
 
317
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 91MB peak: 92MB)
318
 
319
 
320
Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 105MB peak: 106MB)
321
 
322
 
323
Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 105MB peak: 108MB)
324
 
325
 
326
 
327
Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 133MB peak: 135MB)
328
 
329
 
330
Available hyper_sources - for debug and ip models
331
        None Found
332
 
333
 
334
Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 133MB peak: 135MB)
335
 
336 6 ale500
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Removing sequential instance k_reg_nmi[0] in hierarchy view:work.MC6809_cpu(verilog) because there are no references to its outputs
337
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Removing sequential instance k_reg_firq[0] in hierarchy view:work.MC6809_cpu(verilog) because there are no references to its outputs
338
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Removing sequential instance k_reg_irq[0] in hierarchy view:work.MC6809_cpu(verilog) because there are no references to its outputs
339 5 ale500
@N:"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":182:0:182:5|Found counter in view:work.regblock(verilog) inst PC[15:0]
340 6 ale500
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Removing sequential instance k_reg_nmi[1] in hierarchy view:work.MC6809_cpu(verilog) because there are no references to its outputs
341
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Removing sequential instance k_reg_firq[1] in hierarchy view:work.MC6809_cpu(verilog) because there are no references to its outputs
342
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Removing sequential instance k_reg_irq[1] in hierarchy view:work.MC6809_cpu(verilog) because there are no references to its outputs
343 4 ale500
 
344 6 ale500
Finished factoring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 153MB peak: 156MB)
345 4 ale500
 
346 6 ale500
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Removing sequential instance cpu0.k_reg_nmi[2] in hierarchy view:work.CC3_top(verilog) because there are no references to its outputs
347
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Removing sequential instance cpu0.k_reg_firq[2] in hierarchy view:work.CC3_top(verilog) because there are no references to its outputs
348
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Removing sequential instance cpu0.k_reg_irq[2] in hierarchy view:work.CC3_top(verilog) because there are no references to its outputs
349 4 ale500
 
350 6 ale500
Finished gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 148MB peak: 156MB)
351 4 ale500
 
352
 
353
 
354 5 ale500
Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 148MB peak: 162MB)
355 4 ale500
 
356 6 ale500
@N: FA113 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":237:2:237:3|Pipelining module un1_ea_reg_2[15:0]
357
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":182:0:182:5|Register SU[15:0] pushed in.
358
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":182:0:182:5|Register ACCB[7:0] pushed in.
359
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":182:0:182:5|Register DP[7:0] pushed in.
360 5 ale500
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":182:0:182:5|Register SS[15:0] pushed in.
361
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":182:0:182:5|Register IX[15:0] pushed in.
362
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":182:0:182:5|Register IY[15:0] pushed in.
363 6 ale500
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":182:0:182:5|Register cff pushed in.
364
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":35:0:35:5|Register rb_in[15:0] pushed in.
365
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":182:0:182:5|Register vff pushed in.
366 5 ale500
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":182:0:182:5|Register zff pushed in.
367 6 ale500
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":182:0:182:5|Register nff pushed in.
368
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":182:0:182:5|Register intff pushed in.
369
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":182:0:182:5|Register hflag pushed in.
370
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":182:0:182:5|Register fflag pushed in.
371
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":35:0:35:5|Register ra_in[15:0] pushed in.
372
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Register k_memlo[7:0] pushed in.
373
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":315:0:315:5|Register k_ind_ea[7:0] pushed in.
374
@N: FX404 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":280:2:280:5|Found addmux in view:work.CC3_top(verilog) inst cpu0.alu.alu8.k_new_pc_4[15:0] from cpu0.un1_regs_o_pc[15:0]
375 4 ale500
 
376 6 ale500
Starting Early Timing Optimization (Real Time elapsed 0h:00m:10s; CPU Time elapsed 0h:00m:10s; Memory used current: 150MB peak: 162MB)
377 4 ale500
 
378
 
379 6 ale500
Finished Early Timing Optimization (Real Time elapsed 0h:00m:10s; CPU Time elapsed 0h:00m:10s; Memory used current: 150MB peak: 162MB)
380 4 ale500
 
381
 
382 6 ale500
Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:10s; CPU Time elapsed 0h:00m:10s; Memory used current: 149MB peak: 162MB)
383 4 ale500
 
384
 
385 6 ale500
Finished preparing to map (Real Time elapsed 0h:00m:11s; CPU Time elapsed 0h:00m:11s; Memory used current: 148MB peak: 162MB)
386 4 ale500
 
387
 
388 6 ale500
Finished technology mapping (Real Time elapsed 0h:00m:13s; CPU Time elapsed 0h:00m:13s; Memory used current: 189MB peak: 227MB)
389 4 ale500
 
390
Pass             CPU time               Worst Slack             Luts / Registers
391
------------------------------------------------------------
392
Pass             CPU time               Worst Slack             Luts / Registers
393
------------------------------------------------------------
394
------------------------------------------------------------
395
 
396
 
397 6 ale500
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:13s; CPU Time elapsed 0h:00m:13s; Memory used current: 164MB peak: 227MB)
398 4 ale500
 
399
@N: FX164 |The option to pack flops in the IOB has not been specified
400
 
401 6 ale500
Finished restoring hierarchy (Real Time elapsed 0h:00m:14s; CPU Time elapsed 0h:00m:14s; Memory used current: 166MB peak: 227MB)
402 4 ale500
 
403
 
404
 
405
#### START OF CLOCK OPTIMIZATION REPORT #####[
406
 
407 6 ale500
1 non-gated/non-generated clock tree(s) driving 504 clock pin(s) of sequential element(s)
408 4 ale500
 
409 6 ale500
233 @K:conv_instances converted, 0 sequential instances remain driven by gated/generated clocks
410 4 ale500
 
411
=========================== Non-Gated/Non-Generated Clocks ============================
412
Clock Tree ID     Driving Element     Drive Element Type     Fanout     Sample Instance
413
---------------------------------------------------------------------------------------
414 6 ale500
@K:CKID0001       clk40_i             port                   504        cpu_clk
415 4 ale500
=======================================================================================
416
===== Gated/Generated Clocks =====
417
************** None **************
418
----------------------------------
419
==================================
420
 
421
 
422
##### END OF CLOCK OPTIMIZATION REPORT ######]
423
 
424
Writing Analyst data base /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.srm
425
 
426 6 ale500
Finished Writing Netlist Databases (Real Time elapsed 0h:00m:14s; CPU Time elapsed 0h:00m:14s; Memory used current: 169MB peak: 227MB)
427 4 ale500
 
428
Writing EDIF Netlist and constraint files
429
G-2012.09L-SP1
430
@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF
431
 
432 6 ale500
Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:15s; CPU Time elapsed 0h:00m:15s; Memory used current: 173MB peak: 227MB)
433 4 ale500
 
434
@W: MT420 |Found inferred clock CC3_top|clk40_i with period 1000.00ns. Please declare a user-defined clock on object "p:clk40_i"
435
 
436
 
437
 
438
##### START OF TIMING REPORT #####[
439 6 ale500
# Timing Report written on Wed Jan  1 11:05:41 2014
440 4 ale500
#
441
 
442
 
443
Top view:               CC3_top
444
Requested Frequency:    1.0 MHz
445
Wire load mode:         top
446
Paths requested:        5
447
Constraint File(s):
448
@N: MT320 |Timing report estimates place and route data. Please look at the place and route timing report for final timing.
449
 
450
@N: MT322 |Clock constraints cover only FF-to-FF paths associated with the clock.
451
 
452
 
453
 
454
Performance Summary
455
*******************
456
 
457
 
458 6 ale500
Worst slack in design: 978.215
459 4 ale500
 
460
                    Requested     Estimated     Requested     Estimated                 Clock        Clock
461
Starting Clock      Frequency     Frequency     Period        Period        Slack       Type         Group
462
------------------------------------------------------------------------------------------------------------------------
463 6 ale500
CC3_top|clk40_i     1.0 MHz       45.9 MHz      1000.000      21.785        978.215     inferred     Inferred_clkgroup_0
464 4 ale500
========================================================================================================================
465
 
466
 
467
 
468
 
469
 
470
Clock Relationships
471
*******************
472
 
473
Clocks                            |    rise  to  rise     |    fall  to  fall   |    rise  to  fall   |    fall  to  rise
474
--------------------------------------------------------------------------------------------------------------------------
475
Starting         Ending           |  constraint  slack    |  constraint  slack  |  constraint  slack  |  constraint  slack
476
--------------------------------------------------------------------------------------------------------------------------
477 6 ale500
CC3_top|clk40_i  CC3_top|clk40_i  |  1000.000    978.215  |  No paths    -      |  No paths    -      |  No paths    -
478 4 ale500
==========================================================================================================================
479
 Note: 'No paths' indicates there are no paths in the design for that pair of clock edges.
480
       'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups.
481
 
482
 
483
 
484
Interface Information
485
*********************
486
 
487
No IO constraint found
488
 
489
 
490
 
491
====================================
492
Detailed Report for Clock: CC3_top|clk40_i
493
====================================
494
 
495
 
496
 
497
Starting Points with Worst Slack
498
********************************
499
 
500 6 ale500
                          Starting                                                          Arrival
501
Instance                  Reference           Type        Pin     Net                       Time        Slack
502
                          Clock
503
---------------------------------------------------------------------------------------------------------------
504
cpu0.k_opcode[2]          CC3_top|clk40_i     FD1P3AX     Q       k_opcode[2]               1.374       978.215
505
cpu0.k_opcode[0]          CC3_top|clk40_i     FD1P3AX     Q       k_opcode[0]               1.361       978.228
506
cpu0.k_opcode[1]          CC3_top|clk40_i     FD1P3AX     Q       k_opcode[1]               1.366       978.263
507
cpu0.k_opcode[6]          CC3_top|clk40_i     FD1P3AX     Q       k_opcode[6]               1.336       978.293
508
cpu0.k_opcode[7]          CC3_top|clk40_i     FD1P3AX     Q       k_opcode[7]               1.352       978.341
509
cpu0.regs.SU_pipe_100     CC3_top|clk40_i     FD1P3AX     Q       un1_SU_4_sqmuxaf          1.268       978.356
510
cpu0.regs.SU_pipe_102     CC3_top|clk40_i     FD1P3AX     Q       SU_1_sqmuxa_5f            1.268       978.356
511
cpu0.regs.SS_pipe_100     CC3_top|clk40_i     FD1P3AX     Q       SS_1_sqmuxa_2f            1.268       978.420
512
cpu0.regs.SS_pipe_102     CC3_top|clk40_i     FD1P3AX     Q       un1_SU_0_sqmuxa_1_snf     1.268       978.420
513
cpu0.k_opcode[3]          CC3_top|clk40_i     FD1P3AX     Q       k_opcode[3]               1.385       978.484
514
===============================================================================================================
515 4 ale500
 
516
 
517
Ending Points with Worst Slack
518
******************************
519
 
520 6 ale500
                     Starting                                             Required
521
Instance             Reference           Type        Pin     Net          Time         Slack
522
                     Clock
523
----------------------------------------------------------------------------------------------
524
cpu0.regs.PC[14]     CC3_top|clk40_i     FD1P3AX     D       PC_s[14]     999.894      978.215
525
cpu0.regs.PC[15]     CC3_top|clk40_i     FD1P3AX     D       PC_s[15]     999.894      978.215
526
cpu0.regs.PC[12]     CC3_top|clk40_i     FD1P3AX     D       PC_s[12]     999.894      978.358
527
cpu0.regs.PC[13]     CC3_top|clk40_i     FD1P3AX     D       PC_s[13]     999.894      978.358
528
cpu0.regs.PC[10]     CC3_top|clk40_i     FD1P3AX     D       PC_s[10]     999.894      978.501
529
cpu0.regs.PC[11]     CC3_top|clk40_i     FD1P3AX     D       PC_s[11]     999.894      978.501
530
cpu0.regs.PC[8]      CC3_top|clk40_i     FD1P3AX     D       PC_s[8]      999.894      978.644
531
cpu0.regs.PC[9]      CC3_top|clk40_i     FD1P3AX     D       PC_s[9]      999.894      978.644
532
cpu0.regs.PC[6]      CC3_top|clk40_i     FD1P3AX     D       PC_s[6]      999.894      978.786
533
cpu0.regs.PC[7]      CC3_top|clk40_i     FD1P3AX     D       PC_s[7]      999.894      978.786
534
==============================================================================================
535 4 ale500
 
536
 
537
 
538
Worst Path Information
539
***********************
540
 
541
 
542
Path information for path number 1:
543
      Requested Period:                      1000.000
544 6 ale500
    - Setup time:                            0.106
545 4 ale500
    + Clock delay at ending point:           0.000 (ideal)
546 6 ale500
    = Required time:                         999.894
547 4 ale500
 
548 6 ale500
    - Propagation time:                      21.679
549 4 ale500
    - Clock delay at starting point:         0.000 (ideal)
550 6 ale500
    = Slack (critical) :                     978.215
551 4 ale500
 
552 6 ale500
    Number of logic level(s):                22
553
    Starting point:                          cpu0.k_opcode[2] / Q
554
    Ending point:                            cpu0.regs.PC[15] / D
555 4 ale500
    The start point is clocked by            CC3_top|clk40_i [rising] on pin CK
556
    The end   point is clocked by            CC3_top|clk40_i [rising] on pin CK
557
 
558 6 ale500
Instance / Net                                                         Pin      Pin               Arrival     No. of
559
Name                                                      Type         Name     Dir     Delay     Time        Fan Out(s)
560
------------------------------------------------------------------------------------------------------------------------
561
cpu0.k_opcode[2]                                          FD1P3AX      Q        Out     1.374     1.374       -
562
k_opcode[2]                                               Net          -        -       -         -           63
563
cpu0.dec_regs.state_3_sqmuxa_1                            ORCALUT4     B        In      0.000     1.374       -
564
cpu0.dec_regs.state_3_sqmuxa_1                            ORCALUT4     Z        Out     1.193     2.567       -
565
state_3_sqmuxa_1                                          Net          -        -       -         -           4
566
cpu0.dec_op.mode_4_i_a2[0]                                ORCALUT4     C        In      0.000     2.567       -
567
cpu0.dec_op.mode_4_i_a2[0]                                ORCALUT4     Z        Out     1.193     3.760       -
568
dest_reg_5_sqmuxa                                         Net          -        -       -         -           4
569
cpu0.dec_regs.un1_dest_reg44_1_1                          ORCALUT4     B        In      0.000     3.760       -
570
cpu0.dec_regs.un1_dest_reg44_1_1                          ORCALUT4     Z        Out     1.017     4.777       -
571
un1_dest_reg44_1_1                                        Net          -        -       -         -           1
572
cpu0.dec_regs.un1_dest_reg44_1_2                          ORCALUT4     D        In      0.000     4.777       -
573
cpu0.dec_regs.un1_dest_reg44_1_2                          ORCALUT4     Z        Out     1.017     5.793       -
574
un1_dest_reg44_1_2                                        Net          -        -       -         -           1
575
cpu0.dec_regs.un1_dest_reg44_1                            ORCALUT4     A        In      0.000     5.793       -
576
cpu0.dec_regs.un1_dest_reg44_1                            ORCALUT4     Z        Out     1.153     6.946       -
577
un1_dest_reg44_1                                          Net          -        -       -         -           3
578
cpu0.dec_regs.path_left_addr_2_sqmuxa                     ORCALUT4     B        In      0.000     6.946       -
579
cpu0.dec_regs.path_left_addr_2_sqmuxa                     ORCALUT4     Z        Out     1.089     8.035       -
580
path_left_addr_2_sqmuxa                                   Net          -        -       -         -           2
581
cpu0.dec_regs.path_left_addr_1_sqmuxa_2                   ORCALUT4     A        In      0.000     8.035       -
582
cpu0.dec_regs.path_left_addr_1_sqmuxa_2                   ORCALUT4     Z        Out     1.225     9.260       -
583
path_left_addr_1_sqmuxa_2                                 Net          -        -       -         -           5
584
cpu0.dec_regs.k_write_exg10_1_RNITDRD5                    ORCALUT4     A        In      0.000     9.260       -
585
cpu0.dec_regs.k_write_exg10_1_RNITDRD5                    ORCALUT4     Z        Out     1.193     10.453      -
586
path_left_addr_sn_N_2                                     Net          -        -       -         -           4
587
cpu0.dec_regs.path_left_addr[3]                           PFUMX        C0       In      0.000     10.453      -
588
cpu0.dec_regs.path_left_addr[3]                           PFUMX        Z        Out     1.089     11.542      -
589
dec_o_left_path_addr[3]                                   Net          -        -       -         -           4
590
cpu0.regs.datamux_o_alu_in_left_path_addr[3]              ORCALUT4     A        In      0.000     11.542      -
591
cpu0.regs.datamux_o_alu_in_left_path_addr[3]              ORCALUT4     Z        Out     1.369     12.910      -
592
datamux_o_alu_in_left_path_addr[3]                        Net          -        -       -         -           34
593
cpu0.regs.datamux_o_alu_in_left_path_addr_RNIUORB1[1]     ORCALUT4     D        In      0.000     12.910      -
594
cpu0.regs.datamux_o_alu_in_left_path_addr_RNIUORB1[1]     ORCALUT4     Z        Out     1.313     14.223      -
595
N_784                                                     Net          -        -       -         -           16
596
cpu0.regs.path_left_data_bm[3]                            ORCALUT4     C        In      0.000     14.223      -
597
cpu0.regs.path_left_data_bm[3]                            ORCALUT4     Z        Out     1.017     15.240      -
598
path_left_data_bm[3]                                      Net          -        -       -         -           1
599
cpu0.regs.path_left_data[3]                               PFUMX        ALUT     In      0.000     15.240      -
600
cpu0.regs.path_left_data[3]                               PFUMX        Z        Out     0.350     15.590      -
601
regs_o_left_path_data[3]                                  Net          -        -       -         -           3
602
cpu0.regs.path_left_data_RNIU3J91[3]                      ORCALUT4     A        In      0.000     15.590      -
603
cpu0.regs.path_left_data_RNIU3J91[3]                      ORCALUT4     Z        Out     1.265     16.855      -
604
left_1[3]                                                 Net          -        -       -         -           8
605
cpu0.regs.PC_11[3]                                        ORCALUT4     C        In      0.000     16.855      -
606
cpu0.regs.PC_11[3]                                        ORCALUT4     Z        Out     1.017     17.872      -
607
PC_11[3]                                                  Net          -        -       -         -           1
608
cpu0.regs.PC_cry_0[2]                                     CCU2D        B1       In      0.000     17.872      -
609
cpu0.regs.PC_cry_0[2]                                     CCU2D        COUT     Out     1.544     19.416      -
610
PC_cry[3]                                                 Net          -        -       -         -           1
611
cpu0.regs.PC_cry_0[4]                                     CCU2D        CIN      In      0.000     19.416      -
612
cpu0.regs.PC_cry_0[4]                                     CCU2D        COUT     Out     0.143     19.559      -
613
PC_cry[5]                                                 Net          -        -       -         -           1
614
cpu0.regs.PC_cry_0[6]                                     CCU2D        CIN      In      0.000     19.559      -
615
cpu0.regs.PC_cry_0[6]                                     CCU2D        COUT     Out     0.143     19.702      -
616
PC_cry[7]                                                 Net          -        -       -         -           1
617
cpu0.regs.PC_cry_0[8]                                     CCU2D        CIN      In      0.000     19.702      -
618
cpu0.regs.PC_cry_0[8]                                     CCU2D        COUT     Out     0.143     19.845      -
619
PC_cry[9]                                                 Net          -        -       -         -           1
620
cpu0.regs.PC_cry_0[10]                                    CCU2D        CIN      In      0.000     19.845      -
621
cpu0.regs.PC_cry_0[10]                                    CCU2D        COUT     Out     0.143     19.988      -
622
PC_cry[11]                                                Net          -        -       -         -           1
623
cpu0.regs.PC_cry_0[12]                                    CCU2D        CIN      In      0.000     19.988      -
624
cpu0.regs.PC_cry_0[12]                                    CCU2D        COUT     Out     0.143     20.130      -
625
PC_cry[13]                                                Net          -        -       -         -           1
626
cpu0.regs.PC_cry_0[14]                                    CCU2D        CIN      In      0.000     20.130      -
627
cpu0.regs.PC_cry_0[14]                                    CCU2D        S1       Out     1.549     21.679      -
628
PC_s[15]                                                  Net          -        -       -         -           1
629
cpu0.regs.PC[15]                                          FD1P3AX      D        In      0.000     21.679      -
630
========================================================================================================================
631 4 ale500
 
632
 
633
 
634
##### END OF TIMING REPORT #####]
635
 
636
---------------------------------------
637
Resource Usage Report
638
Part: lcmxo2_7000he-4
639
 
640 6 ale500
Register bits: 500 of 6864 (7%)
641 4 ale500
PIC Latch:       0
642
I/O cells:       49
643
Block Rams : 2 of 26 (7%)
644
 
645
 
646
Details:
647 6 ale500
CCU2D:          160
648 4 ale500
DP8KC:          2
649 6 ale500
FD1P3AX:        484
650 4 ale500
FD1P3DX:        6
651 6 ale500
FD1P3IX:        1
652 4 ale500
FD1S3AX:        1
653
GSR:            1
654
IB:             1
655 6 ale500
INV:            13
656
L6MUX21:        31
657 4 ale500
OB:             48
658
OFS1P3DX:       8
659 6 ale500
ORCALUT4:       1933
660
PFUMX:          308
661 4 ale500
PUR:            1
662 6 ale500
VHI:            8
663
VLO:            13
664
true:           5
665 4 ale500
Mapper successful!
666
 
667 6 ale500
At Mapper Exit (Real Time elapsed 0h:00m:15s; CPU Time elapsed 0h:00m:15s; Memory used current: 44MB peak: 227MB)
668 4 ale500
 
669 6 ale500
Process took 0h:00m:15s realtime, 0h:00m:15s cputime
670
# Wed Jan  1 11:05:41 2014
671 4 ale500
 
672
###########################################################]
673
 
674
 
675
Synthesis exit by 0.
676
 
677
edif2ngd  -l "MachXO2" -d LCMXO2-7000HE -path "/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809" -path "/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice"  "/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi" "P6809_P6809.ngo"
678
edif2ngd:  version Diamond (64-bit) 2.2.0.101
679
 
680
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
681
Copyright (c) 1995 AT&T Corp.   All rights reserved.
682
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
683
Copyright (c) 2001 Agere Systems   All rights reserved.
684
Copyright (c) 2002-2013 Lattice Semiconductor Corporation,  All rights reserved.
685
WARNING - edif2ngd: Unsupported property is_pwr found - ignoring...
686 6 ale500
  On or above line 280 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
687 4 ale500
 
688
WARNING - edif2ngd: Unsupported property is_pwr found - ignoring...
689 6 ale500
  On or above line 288 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
690 4 ale500
 
691
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
692 6 ale500
  On or above line 1928 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
693 4 ale500
 
694
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
695 6 ale500
  On or above line 5198 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
696 4 ale500
 
697
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
698 6 ale500
  On or above line 9990 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
699 4 ale500
 
700
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
701 6 ale500
  On or above line 10297 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
702 4 ale500
 
703
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
704 6 ale500
  On or above line 11284 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
705 4 ale500
 
706
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
707 6 ale500
  On or above line 11361 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
708 4 ale500
 
709
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
710 6 ale500
  On or above line 12803 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
711 4 ale500
 
712
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
713 6 ale500
  On or above line 15684 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
714 4 ale500
 
715
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
716 6 ale500
  On or above line 29797 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
717 4 ale500
 
718
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
719 6 ale500
  On or above line 32207 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
720 4 ale500
 
721 6 ale500
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
722
  On or above line 32625 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
723
 
724
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
725
  On or above line 38016 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
726
 
727
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
728
  On or above line 38686 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
729
 
730 4 ale500
Writing the design to P6809_P6809.ngo...
731
 
732
 
733
ngdbuild  -a "MachXO2" -d LCMXO2-7000HE  -p "/usr/local/diamond/2.2_x64/ispfpga/xo2c00/data"  -p "/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809" -p "/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice"  "P6809_P6809.ngo" "P6809_P6809.ngd"
734
ngdbuild:  version Diamond (64-bit) 2.2.0.101
735
 
736
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
737
Copyright (c) 1995 AT&T Corp.   All rights reserved.
738
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
739
Copyright (c) 2001 Agere Systems   All rights reserved.
740
Copyright (c) 2002-2013 Lattice Semiconductor Corporation,  All rights reserved.
741
Reading 'P6809_P6809.ngo' ...
742
Loading NGL library '/usr/local/diamond/2.2_x64/ispfpga/xo2c00a/data/xo2alib.ngl'...
743
Loading NGL library '/usr/local/diamond/2.2_x64/ispfpga/xo2c00/data/xo2clib.ngl'...
744
Loading NGL library '/usr/local/diamond/2.2_x64/ispfpga/mg5g00/data/mg5glib.ngl'...
745
Loading NGL library '/usr/local/diamond/2.2_x64/ispfpga/or5g00/data/orc5glib.ngl'...
746
 
747
 
748
Running DRC...
749
 
750 6 ale500
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/mulu/pipe1_1_6_cry_8_0_COUT' has no load
751
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/mulu/pipe1_1_6_cry_8_0_S1' has no load
752
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/mulu/pipe1_1_6_cry_0_0_S0' has no load
753
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/mulu/pipe1_1_6_cry_0_0_S1' has no load
754
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/mulu/pipe1_1_s_15_0_COUT' has no load
755
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/mulu/pipe1_1_s_15_0_S1' has no load
756
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/mulu/pipe1_1_cry_0_0_S0' has no load
757
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/mulu/pipe1_1_cry_0_0_S1' has no load
758
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/mulu/pipe1_1_4_cry_8_0_COUT' has no load
759
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/mulu/pipe1_1_4_cry_1_0_S0' has no load
760
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/mulu/pipe1_1_4_cry_1_0_S1' has no load
761
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/mulu/pipe0_1_4_cry_8_0_COUT' has no load
762
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/mulu/pipe0_1_4_cry_1_0_S0' has no load
763
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/mulu/pipe0_1_4_cry_1_0_S1' has no load
764
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/mulu/pipe0_1_5_cry_8_0_COUT' has no load
765
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/mulu/pipe0_1_5_cry_1_0_S0' has no load
766
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/mulu/pipe0_1_5_cry_1_0_S1' has no load
767
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/mulu/pipe0_1_s_11_0_COUT' has no load
768
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/mulu/pipe0_1_s_11_0_S1' has no load
769
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/mulu/pipe0_1_cry_2_0_S0' has no load
770
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/mulu/pipe0_1_cry_2_0_S1' has no load
771
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/sbc16_w_cry_15_0_COUT' has no load
772
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/sbc16_w_cry_0_0_S0' has no load
773
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/sub16_w_cry_15_0_COUT' has no load
774
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/sub16_w_cry_0_0_S0' has no load
775
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/sub16_w_cry_0_0_S1' has no load
776
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/add16_w_cry_15_0_COUT' has no load
777
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/add16_w_cry_0_0_S0' has no load
778
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/add16_w_cry_0_0_S1' has no load
779
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/adc16_w_s_15_0_COUT' has no load
780
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/adc16_w_s_15_0_S1' has no load
781
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/adc16_w_cry_13_0_S0' has no load
782
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/adc16_w_cry_13_0_S1' has no load
783
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/adc16_w_cry_11_0_S0' has no load
784
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/adc16_w_cry_11_0_S1' has no load
785
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/adc16_w_cry_9_0_S0' has no load
786
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/adc16_w_cry_9_0_S1' has no load
787
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/adc16_w_cry_7_0_S0' has no load
788
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/adc16_w_cry_7_0_S1' has no load
789
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/adc16_w_cry_5_0_S0' has no load
790
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/adc16_w_cry_5_0_S1' has no load
791
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/adc16_w_cry_3_0_S0' has no load
792
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/adc16_w_cry_3_0_S1' has no load
793
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/adc16_w_cry_1_0_S0' has no load
794
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/adc16_w_cry_1_0_S1' has no load
795
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/adc16_w_cry_0_0_S0' has no load
796
WARNING - ngdbuild: logical net 'cpu0/alu/alu16/adc16_w_cry_0_0_S1' has no load
797
WARNING - ngdbuild: logical net 'cpu0/alu/alu8/sub8_w_cry_7_0_COUT' has no load
798
WARNING - ngdbuild: logical net 'cpu0/alu/alu8/sub8_w_cry_0_0_S0' has no load
799
WARNING - ngdbuild: logical net 'cpu0/alu/alu8/sub8_w_cry_0_0_S1' has no load
800
WARNING - ngdbuild: logical net 'cpu0/alu/alu8/sbc8_w_cry_7_0_COUT' has no load
801
WARNING - ngdbuild: logical net 'cpu0/alu/alu8/sbc8_w_cry_0_0_S0' has no load
802
WARNING - ngdbuild: logical net 'cpu0/alu/alu8/add8_w_cry_7_0_COUT' has no load
803
WARNING - ngdbuild: logical net 'cpu0/alu/alu8/add8_w_cry_0_0_S0' has no load
804
WARNING - ngdbuild: logical net 'cpu0/alu/alu8/add8_w_cry_0_0_S1' has no load
805
WARNING - ngdbuild: logical net 'cpu0/alu/alu8/neg8_w_s_7_0_COUT' has no load
806
WARNING - ngdbuild: logical net 'cpu0/alu/alu8/neg8_w_s_7_0_S1' has no load
807
WARNING - ngdbuild: logical net 'cpu0/alu/alu8/neg8_w_cry_0_0_S0' has no load
808
WARNING - ngdbuild: logical net 'cpu0/alu/alu8/neg8_w_cry_0_0_S1' has no load
809
WARNING - ngdbuild: logical net 'cpu0/alu/alu8/adc8_w_s_7_0_COUT' has no load
810
WARNING - ngdbuild: logical net 'cpu0/alu/alu8/adc8_w_s_7_0_S1' has no load
811
WARNING - ngdbuild: logical net 'cpu0/alu/alu8/adc8_w_cry_5_0_S0' has no load
812
WARNING - ngdbuild: logical net 'cpu0/alu/alu8/adc8_w_cry_5_0_S1' has no load
813
WARNING - ngdbuild: logical net 'cpu0/alu/alu8/adc8_w_cry_3_0_S0' has no load
814
WARNING - ngdbuild: logical net 'cpu0/alu/alu8/adc8_w_cry_3_0_S1' has no load
815
WARNING - ngdbuild: logical net 'cpu0/alu/alu8/adc8_w_cry_1_0_S0' has no load
816
WARNING - ngdbuild: logical net 'cpu0/alu/alu8/adc8_w_cry_1_0_S1' has no load
817
WARNING - ngdbuild: logical net 'cpu0/alu/alu8/adc8_w_cry_0_0_S0' has no load
818
WARNING - ngdbuild: logical net 'cpu0/alu/alu8/adc8_w_cry_0_0_S1' has no load
819
WARNING - ngdbuild: logical net 'cpu0/alu/alu8/k_new_pc_4_s_15_0_COUT' has no load
820
WARNING - ngdbuild: logical net 'cpu0/alu/alu8/k_new_pc_4_s_15_0_S1' has no load
821
WARNING - ngdbuild: logical net 'cpu0/alu/alu8/k_new_pc_4_cry_0_0_S0' has no load
822
WARNING - ngdbuild: logical net 'cpu0/alu/alu8/k_new_pc_4_cry_0_0_S1' has no load
823 4 ale500
WARNING - ngdbuild: logical net 'cpu0/regs/eamem_addr_s_15_0_COUT' has no load
824
WARNING - ngdbuild: logical net 'cpu0/regs/eamem_addr_s_15_0_S1' has no load
825
WARNING - ngdbuild: logical net 'cpu0/regs/eamem_addr_cry_0_0_S0' has no load
826
WARNING - ngdbuild: logical net 'cpu0/regs/eamem_addr_cry_0_0_S1' has no load
827 6 ale500
WARNING - ngdbuild: logical net 'cpu0/regs/un1_ea_reg_2_s_15_0_COUT' has no load
828
WARNING - ngdbuild: logical net 'cpu0/regs/un1_ea_reg_2_s_15_0_S1' has no load
829
WARNING - ngdbuild: logical net 'cpu0/regs/un1_ea_reg_2_cry_0_0_S0' has no load
830
WARNING - ngdbuild: logical net 'cpu0/regs/un1_ea_reg_2_cry_0_0_S1' has no load
831
WARNING - ngdbuild: logical net 'cpu0/regs/un1_SS_1_s_15_0_COUT' has no load
832
WARNING - ngdbuild: logical net 'cpu0/regs/un1_SS_1_s_15_0_S1' has no load
833
WARNING - ngdbuild: logical net 'cpu0/regs/un1_SS_1_cry_0_0_S0' has no load
834
WARNING - ngdbuild: logical net 'cpu0/regs/un1_SS_1_cry_0_0_S1' has no load
835 5 ale500
WARNING - ngdbuild: logical net 'cpu0/regs/un1_ea_reg_1_s_15_0_COUT' has no load
836
WARNING - ngdbuild: logical net 'cpu0/regs/un1_ea_reg_1_s_15_0_S1' has no load
837
WARNING - ngdbuild: logical net 'cpu0/regs/un1_ea_reg_1_cry_0_0_S0' has no load
838
WARNING - ngdbuild: logical net 'cpu0/regs/un1_ea_reg_1_cry_0_0_S1' has no load
839 4 ale500
WARNING - ngdbuild: logical net 'cpu0/regs/PC_cry_0_COUT[14]' has no load
840
WARNING - ngdbuild: logical net 'cpu0/regs/PC_lcry_0_S0' has no load
841
WARNING - ngdbuild: logical net 'cpu0/regs/PC_lcry_0_S1' has no load
842
WARNING - ngdbuild: logical net 'cpu0/un1_k_cpu_addr_1_s_15_0_COUT' has no load
843
WARNING - ngdbuild: logical net 'cpu0/un1_k_cpu_addr_1_s_15_0_S1' has no load
844
WARNING - ngdbuild: logical net 'cpu0/un1_k_cpu_addr_1_cry_0_0_S0' has no load
845
WARNING - ngdbuild: logical net 'cpu0/un1_k_cpu_addr_1_cry_0_0_S1' has no load
846 6 ale500
WARNING - ngdbuild: DRC complete with 96 warnings
847 4 ale500
 
848
Design Results:
849 6 ale500
   3018 blocks expanded
850 4 ale500
complete the first expansion
851
Writing 'P6809_P6809.ngd' ...
852
 
853
map -a "MachXO2" -p LCMXO2-7000HE -t TQFP144 -s 4 -oc Commercial   "P6809_P6809.ngd" -o "P6809_P6809_map.ncd" -pr "P6809_P6809.prf" -mp "P6809_P6809.mrp" "/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809.lpf" -c 0
854
map:  version Diamond (64-bit) 2.2.0.101
855
 
856
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
857
Copyright (c) 1995 AT&T Corp.   All rights reserved.
858
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
859
Copyright (c) 2001 Agere Systems   All rights reserved.
860
Copyright (c) 2002-2013 Lattice Semiconductor Corporation,  All rights reserved.
861
   Process the file: P6809_P6809.ngd
862
   Picdevice="LCMXO2-7000HE"
863
 
864
   Pictype="TQFP144"
865
 
866
   Picspeed=4
867
 
868
   Remove unused logic
869
 
870
   Do not produce over sized NCDs.
871
 
872
Part used: LCMXO2-7000HETQFP144, Performance used: 4.
873
Loading device for application map from file 'xo2c7000.nph' in environment: /usr/local/diamond/2.2_x64/ispfpga.
874
Package Status:                     Final          Version 1.36
875
 
876
Running general design DRC...
877
Removing unused logic...
878
Optimizing...
879
7 CCU2 constant inputs absorbed.
880 6 ale500
WARNING - map: Using local reset signal 'cpu0.cpu_reset_i_3_i' to infer global GSR net.
881 4 ale500
WARNING - map: The reset of EBR 'bios/bios2k_0_1_0' cannot be controlled. The local reset is not connected to any control signal and set to GND. The global reset is disabled via GSR property. To control the EBR reset, either connect the local reset to a control signal or force the GSR property to be enabled.
882
WARNING - map: The reset of EBR 'bios/bios2k_0_0_1' cannot be controlled. The local reset is not connected to any control signal and set to GND. The global reset is disabled via GSR property. To control the EBR reset, either connect the local reset to a control signal or force the GSR property to be enabled.
883
 
884
 
885
 
886
Design Summary:
887 6 ale500
   Number of registers:    500
888
      PFU registers:    492
889 4 ale500
      PIO registers:    8
890 6 ale500
   Number of SLICEs:          1135 out of  3432 (33%)
891 4 ale500
      SLICEs(logic/ROM):       858 out of   858 (100%)
892 6 ale500
      SLICEs(logic/ROM/RAM):   277 out of  2574 (11%)
893 4 ale500
          As RAM:            0 out of  2574 (0%)
894 6 ale500
          As Logic/ROM:    277 out of  2574 (11%)
895
   Number of logic LUT4s:     1946
896 4 ale500
   Number of distributed RAM:   0 (0 LUT4s)
897 6 ale500
   Number of ripple logic:    160 (320 LUT4s)
898 4 ale500
   Number of shift registers:   0
899 6 ale500
   Total number of LUT4s:     2266
900 4 ale500
   Number of PIO sites used: 49 + 4(JTAG) out of 115 (46%)
901
   Number of block RAMs:  2 out of 26 (8%)
902
   Number of GSRs:  1 out of 1 (100%)
903
   EFB used :       No
904
   JTAG used :      No
905
   Readback used :  No
906
   Oscillator used :  No
907
   Startup used :   No
908
   POR :            On
909
   Bandgap :        On
910
   Number of Power Controller:  0 out of 1 (0%)
911
   Number of Dynamic Bank Controller (BCINRD):  0 out of 6 (0%)
912
   Number of Dynamic Bank Controller (BCLVDSO):  0 out of 1 (0%)
913
   Number of DCCA:  0 out of 8 (0%)
914
   Number of DCMA:  0 out of 2 (0%)
915
   Number of PLLs:  0 out of 2 (0%)
916
   Number of DQSDLLs:  0 out of 2 (0%)
917
   Number of CLKDIVC:  0 out of 4 (0%)
918
   Number of ECLKSYNCA:  0 out of 4 (0%)
919
   Number of ECLKBRIDGECS:  0 out of 2 (0%)
920
   Notes:-
921
      1. Total number of LUT4s = (Number of logic LUT4s) + 2*(Number of distributed RAMs) + 2*(Number of ripple logic)
922
      2. Number of logic LUT4s does not include count of distributed RAM and ripple logic.
923
   Number of clocks:  1
924 6 ale500
     Net cpu_clkgen: 312 loads, 312 rising, 0 falling (Driver: PIO clk40_i )
925 5 ale500
   Number of Clock Enables:  27
926 6 ale500
     Net cpu_clk: 127 loads, 127 LSLICEs
927
     Net leds_r_cnv[0]: 8 loads, 0 LSLICEs
928 4 ale500
     Net un1_cen_o_0: 4 loads, 0 LSLICEs
929 6 ale500
     Net cpu0/state_9_sqmuxa_RNILUGF3: 3 loads, 3 LSLICEs
930
     Net cpu0/un1_state_21_RNI2O3K: 4 loads, 4 LSLICEs
931
     Net cpu0/mode52_3_RNIE4HVB: 4 loads, 4 LSLICEs
932
     Net cpu0/k_ealo_cnv_0[0]: 16 loads, 16 LSLICEs
933
     Net cpu0/un1_state_77_RNIJP1NM: 2 loads, 2 LSLICEs
934
     Net cpu0/un1_k_opcode_3_RNIGMC4I: 8 loads, 8 LSLICEs
935
     Net cpu0/k_new_pc27_0_RNI0JKSH: 4 loads, 4 LSLICEs
936
     Net cpu0/un1_state_66_RNIVV3L4: 2 loads, 2 LSLICEs
937
     Net cpu0/un1_state_92_i_o4_RNIGQ812: 4 loads, 4 LSLICEs
938 5 ale500
     Net cpu0/regs/eflag_RNO: 1 loads, 1 LSLICEs
939 6 ale500
     Net cpu0/un1_state_18_2_RNITPBJ: 4 loads, 4 LSLICEs
940
     Net cpu0/k_cpu_we_3_RNIIAUK: 8 loads, 8 LSLICEs
941
     Net cpu0/cff_0_sqmuxa_1_RNIICN5: 18 loads, 18 LSLICEs
942
     Net cpu0/regs/IY_1_sqmuxa_1_1_RNIHDTU1: 25 loads, 25 LSLICEs
943
     Net cpu0/regs/IX_0_sqmuxa_1_1_RNISEKK1: 25 loads, 25 LSLICEs
944
     Net cpu0/regs/DP_1_sqmuxa_0_0_RNIBPP91: 9 loads, 9 LSLICEs
945
     Net cpu0/regs/ACCB_0_sqmuxa_1_RNIHOBV: 9 loads, 9 LSLICEs
946
     Net cpu0/regs/un1_exg_dest_r_4_RNIA9G72: 4 loads, 4 LSLICEs
947
     Net cpu0/un1_state_74_1_RNIUBKOG: 4 loads, 4 LSLICEs
948
     Net cpu0/state81_RNID4BE4: 2 loads, 2 LSLICEs
949
     Net cpu0/un3_cpu_reset_RNIGK359: 4 loads, 4 LSLICEs
950
     Net cpu0/un3_cpu_reset_RNI57TP9: 4 loads, 4 LSLICEs
951
     Net cpu0/k_memlo_1_sqmuxa_RNI6AUQ: 4 loads, 4 LSLICEs
952
     Net cpu0/k_memhi_0_sqmuxa_RNI0T301: 4 loads, 4 LSLICEs
953
   Number of local set/reset loads for net cpu0.cpu_reset_i_3_i merged into GSR:  6
954
   Number of LSRs:  1
955
     Net cpu0/G_5: 1 loads, 1 LSLICEs
956 4 ale500
   Number of nets driven by tri-state buffers:  0
957
   Top 10 highest fanout non-clock nets:
958 6 ale500
     Net cpu_clk: 149 loads
959
     Net cpu0/dec_o_alu_opcode[0]: 95 loads
960
     Net state_o_c[1]: 95 loads
961
     Net state_o_c[4]: 77 loads
962
     Net state_o_c[2]: 73 loads
963
     Net cpu0/k_opcode[3]: 70 loads
964
     Net cpu0/dec_o_p1_mode[2]: 67 loads
965
     Net state_o_c[0]: 67 loads
966
     Net cpu0/dec_o_alu_opcode[4]: 66 loads
967
     Net cpu0/dec_o_p1_mode[0]: 66 loads
968 4 ale500
 
969
   Number of warnings:  3
970
   Number of errors:    0
971
 
972
 
973 6 ale500
Total CPU Time: 0 secs
974 4 ale500
Total REAL Time: 0 secs
975 6 ale500
Peak Memory Usage: 193 MB
976 4 ale500
 
977
Dumping design to file P6809_P6809_map.ncd.
978
 
979
trce -f "P6809_P6809.mt" -o "P6809_P6809.tw1" "P6809_P6809_map.ncd" "P6809_P6809.prf"
980
trce:  version Diamond (64-bit) 2.2.0.101
981
 
982
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
983
Copyright (c) 1995 AT&T Corp.   All rights reserved.
984
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
985
Copyright (c) 2001 Agere Systems   All rights reserved.
986
Copyright (c) 2002-2013 Lattice Semiconductor Corporation,  All rights reserved.
987
 
988
Loading design for application trce from file P6809_P6809_map.ncd.
989
Design name: CC3_top
990
NCD version: 3.2
991
Vendor:      LATTICE
992
Device:      LCMXO2-7000HE
993
Package:     TQFP144
994
Performance: 4
995
Loading device for application trce from file 'xo2c7000.nph' in environment: /usr/local/diamond/2.2_x64/ispfpga.
996
Package Status:                     Final          Version 1.36
997
Performance Hardware Data Status:   Final)         Version 23.4
998
Setup and Hold Report
999
 
1000
--------------------------------------------------------------------------------
1001
Lattice TRACE Report - Setup, Version Diamond (64-bit) 2.2.0.101
1002 6 ale500
Wed Jan  1 11:05:44 2014
1003 4 ale500
 
1004
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
1005
Copyright (c) 1995 AT&T Corp.   All rights reserved.
1006
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
1007
Copyright (c) 2001 Agere Systems   All rights reserved.
1008
Copyright (c) 2002-2013 Lattice Semiconductor Corporation,  All rights reserved.
1009
 
1010
Report Information
1011
------------------
1012
Command line:    trce -v 1 -gt -mapchkpnt 0 -sethld -o P6809_P6809.tw1 P6809_P6809_map.ncd P6809_P6809.prf
1013
Design file:     P6809_P6809_map.ncd
1014
Preference file: P6809_P6809.prf
1015
Device,speed:    LCMXO2-7000HE,4
1016
Report level:    verbose report, limited to 1 item per preference
1017
--------------------------------------------------------------------------------
1018
 
1019
BLOCK ASYNCPATHS
1020
BLOCK RESETPATHS
1021
--------------------------------------------------------------------------------
1022
 
1023
 
1024
 
1025
Timing summary (Setup):
1026
---------------
1027
 
1028 6 ale500
Timing errors: 4096  Score: 10215342
1029
Cumulative negative slack: 10215342
1030 4 ale500
 
1031 6 ale500
Constraints cover 3952043 paths, 1 nets, and 8655 connections (95.9% coverage)
1032 4 ale500
 
1033
--------------------------------------------------------------------------------
1034
Lattice TRACE Report - Hold, Version Diamond (64-bit) 2.2.0.101
1035 6 ale500
Wed Jan  1 11:05:44 2014
1036 4 ale500
 
1037
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
1038
Copyright (c) 1995 AT&T Corp.   All rights reserved.
1039
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
1040
Copyright (c) 2001 Agere Systems   All rights reserved.
1041
Copyright (c) 2002-2013 Lattice Semiconductor Corporation,  All rights reserved.
1042
 
1043
Report Information
1044
------------------
1045
Command line:    trce -v 1 -gt -mapchkpnt 0 -sethld -o P6809_P6809.tw1 P6809_P6809_map.ncd P6809_P6809.prf
1046
Design file:     P6809_P6809_map.ncd
1047
Preference file: P6809_P6809.prf
1048
Device,speed:    LCMXO2-7000HE,M
1049
Report level:    verbose report, limited to 1 item per preference
1050
--------------------------------------------------------------------------------
1051
 
1052
BLOCK ASYNCPATHS
1053
BLOCK RESETPATHS
1054
--------------------------------------------------------------------------------
1055
 
1056
 
1057
 
1058
Timing summary (Hold):
1059
---------------
1060
 
1061
Timing errors: 0  Score: 0
1062
Cumulative negative slack: 0
1063
 
1064 6 ale500
Constraints cover 3952043 paths, 1 nets, and 8953 connections (99.2% coverage)
1065 4 ale500
 
1066
 
1067
 
1068
Timing summary (Setup and Hold):
1069
---------------
1070
 
1071
Timing errors: 4096 (setup), 0 (hold)
1072 6 ale500
Score: 10215342 (setup), 0 (hold)
1073
Cumulative negative slack: 10215342 (10215342+0)
1074 4 ale500
--------------------------------------------------------------------------------
1075
 
1076
--------------------------------------------------------------------------------
1077
 
1078
Total time: 0 secs
1079 6 ale500
 
1080
mpartrce -p "P6809_P6809.p2t" -f "P6809_P6809.p3t" -tf "P6809_P6809.pt" "P6809_P6809_map.ncd" "P6809_P6809.ncd"
1081
 
1082
---- MParTrce Tool ----
1083
Removing old design directory at request of -rem command line option to this program.
1084
Running par. Please wait . . .
1085
 
1086
Lattice Place and Route Report for Design "P6809_P6809_map.ncd"
1087
Wed Jan  1 11:05:44 2014
1088
 
1089
PAR: Place And Route Diamond (64-bit) 2.2.0.101.
1090
Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF P6809_P6809_map.ncd P6809_P6809.dir/5_1.ncd P6809_P6809.prf
1091
Preference file: P6809_P6809.prf.
1092
Placement level-cost: 5-1.
1093
Routing Iterations: 6
1094
 
1095
Loading design for application par from file P6809_P6809_map.ncd.
1096
Design name: CC3_top
1097
NCD version: 3.2
1098
Vendor:      LATTICE
1099
Device:      LCMXO2-7000HE
1100
Package:     TQFP144
1101
Performance: 4
1102
Loading device for application par from file 'xo2c7000.nph' in environment: /usr/local/diamond/2.2_x64/ispfpga.
1103
Package Status:                     Final          Version 1.36
1104
Performance Hardware Data Status:   Final)         Version 23.4
1105
License checked out.
1106
 
1107
 
1108
Ignore Preference Error(s):  True
1109
Device utilization summary:
1110
 
1111
   PIO (prelim)   49+4(JTAG)/336     14% used
1112
                  49+4(JTAG)/115     42% bonded
1113
   IOLOGIC            8/336           2% used
1114
 
1115
   SLICE           1135/3432         33% used
1116
 
1117
   GSR                1/1           100% used
1118
   EBR                2/26            7% used
1119
 
1120
 
1121
INFO: Design contains EBR with ASYNC Reset Mode that has a limitation: The use of the EBR block asynchronous reset requires that certain timing be met between the clock and the reset within the memory block. See the device specific datasheet for additional details.
1122
INFO: Design contains pre-loadable EBR during configuration that has a requirement: Since the GSR is disabled for the EBR, make sure write enable and chip enable are inactive during wake-up, so that the pre-loaded initialization values will not be corrupted during wake-up state.
1123
Number of Signals: 2562
1124
Number of Connections: 9028
1125
 
1126
Pin Constraint Summary:
1127
   49 out of 49 pins locked (100% locked).
1128
 
1129
The following 1 signal is selected to use the primary clock routing resources:
1130
    cpu_clkgen (driver: clk40_i, clk load #: 312)
1131
 
1132
 
1133
The following 5 signals are selected to use the secondary clock routing resources:
1134
    cpu_clk (driver: SLICE_441, clk load #: 0, sr load #: 0, ce load #: 127)
1135
    cpu0/regs/IY_1_sqmuxa_1_1_RNIHDTU1 (driver: cpu0/regs/SLICE_927, clk load #: 0, sr load #: 0, ce load #: 25)
1136
    cpu0/regs/IX_0_sqmuxa_1_1_RNISEKK1 (driver: cpu0/regs/SLICE_889, clk load #: 0, sr load #: 0, ce load #: 25)
1137
    cpu0/cff_0_sqmuxa_1_RNIICN5 (driver: cpu0/regs/SLICE_1130, clk load #: 0, sr load #: 0, ce load #: 18)
1138
    cpu0/k_ealo_cnv_0[0] (driver: cpu0/SLICE_803, clk load #: 0, sr load #: 0, ce load #: 16)
1139
 
1140
Signal cpu0.cpu_reset_i_3_i is selected as Global Set/Reset.
1141
Starting Placer Phase 0.
1142
...........
1143
Finished Placer Phase 0.  REAL time: 5 secs
1144
 
1145
Starting Placer Phase 1.
1146
......................
1147
Placer score = 827523.
1148
Finished Placer Phase 1.  REAL time: 12 secs
1149
 
1150
Starting Placer Phase 2.
1151
.
1152
Placer score =  814815
1153
Finished Placer Phase 2.  REAL time: 13 secs
1154
 
1155
 
1156
------------------ Clock Report ------------------
1157
 
1158
Global Clock Resources:
1159
  CLK_PIN    : 1 out of 8 (12%)
1160
  PLL        : 0 out of 2 (0%)
1161
  DCM        : 0 out of 2 (0%)
1162
  DCC        : 0 out of 8 (0%)
1163
 
1164
Quadrants All (TL, TR, BL, BR) - Global Clocks:
1165
  PRIMARY "cpu_clkgen" from comp "clk40_i" on CLK_PIN site "27 (PL22A)", clk load = 312
1166
  SECONDARY "cpu_clk" from Q0 on comp "SLICE_441" on site "R21C18B", clk load = 0, ce load = 127, sr load = 0
1167
  SECONDARY "cpu0/k_ealo_cnv_0[0]" from F1 on comp "cpu0/SLICE_803" on site "R21C18D", clk load = 0, ce load = 16, sr load = 0
1168
  SECONDARY "cpu0/cff_0_sqmuxa_1_RNIICN5" from F1 on comp "cpu0/regs/SLICE_1130" on site "R14C20C", clk load = 0, ce load = 18, sr load = 0
1169
  SECONDARY "cpu0/regs/IY_1_sqmuxa_1_1_RNIHDTU1" from F0 on comp "cpu0/regs/SLICE_927" on site "R14C18A", clk load = 0, ce load = 25, sr load = 0
1170
  SECONDARY "cpu0/regs/IX_0_sqmuxa_1_1_RNISEKK1" from F1 on comp "cpu0/regs/SLICE_889" on site "R14C18B", clk load = 0, ce load = 25, sr load = 0
1171
 
1172
  PRIMARY  : 1 out of 8 (12%)
1173
  SECONDARY: 5 out of 8 (62%)
1174
 
1175
Edge Clocks:
1176
  No edge clock selected.
1177
 
1178
--------------- End of Clock Report ---------------
1179
 
1180
 
1181
I/O Usage Summary (final):
1182
   49 out of 336 (14.6%) PIO sites used.
1183
   49 out of 115 (42.6%) bonded PIO sites used.
1184
   Number of PIO comps: 49; differential: 0
1185
   Number of Vref pins used: 0
1186
 
1187
I/O Bank Usage Summary:
1188
+----------+----------------+------------+-----------+
1189
| I/O Bank | Usage          | Bank Vccio | Bank Vref |
1190
+----------+----------------+------------+-----------+
1191
| 0        | 12 / 28 ( 42%) | 2.5V       | -         |
1192
| 1        | 13 / 29 ( 44%) | 2.5V       | -         |
1193
| 2        | 23 / 29 ( 79%) | 2.5V       | -         |
1194
| 3        | 1 / 9 ( 11%)   | 2.5V       | -         |
1195
| 4        | 0 / 10 (  0%)  | -          | -         |
1196
| 5        | 0 / 10 (  0%)  | -          | -         |
1197
+----------+----------------+------------+-----------+
1198
 
1199
Total placer CPU time: 12 secs
1200
 
1201
Dumping design to file P6809_P6809.dir/5_1.ncd.
1202
 
1203
 
1204
Starting router resource preassignment
1205
 
1206
Completed router resource preassignment. Real time: 16 secs
1207
 
1208
Start NBR router at Wed Jan 01 11:06:00 CET 2014
1209
 
1210
*****************************************************************
1211
Info: NBR allows conflicts(one node used by more than one signal)
1212
      in the earlier iterations. In each iteration, it tries to
1213
      solve the conflicts while keeping the critical connections
1214
      routed as short as possible. The routing process is said to
1215
      be completed when no conflicts exist and all connections
1216
      are routed.
1217
Note: NBR uses a different method to calculate timing slacks. The
1218
      worst slack and total negative slack may not be the same as
1219
      that in TRCE report. You should always run TRCE to verify
1220
      your design. Thanks.
1221
*****************************************************************
1222
 
1223
Start NBR special constraint process at Wed Jan 01 11:06:00 CET 2014
1224
 
1225
Start NBR section for initial routing
1226
Level 1, iteration 1
1227
156(0.04%) conflicts; 7573(83.88%) untouched conns; 89200 (nbr) score;
1228
Estimated worst slack/total negative slack: -0.975ns/-89.201ns; real time: 19 secs
1229
Level 2, iteration 1
1230
140(0.04%) conflicts; 6457(71.52%) untouched conns; 89241 (nbr) score;
1231
Estimated worst slack/total negative slack: -1.097ns/-89.241ns; real time: 20 secs
1232
Level 3, iteration 1
1233
72(0.02%) conflicts; 5257(58.23%) untouched conns; 98076 (nbr) score;
1234
Estimated worst slack/total negative slack: -1.210ns/-98.077ns; real time: 21 secs
1235
Level 4, iteration 1
1236
334(0.09%) conflicts; 0(0.00%) untouched conn; 97875 (nbr) score;
1237
Estimated worst slack/total negative slack: -1.218ns/-97.875ns; real time: 22 secs
1238
 
1239
Info: Initial congestion level at 75% usage is 3
1240
Info: Initial congestion area  at 75% usage is 38 (3.80%)
1241
 
1242
Start NBR section for normal routing
1243
Level 1, iteration 1
1244
36(0.01%) conflicts; 424(4.70%) untouched conns; 28584 (nbr) score;
1245
Estimated worst slack/total negative slack: -0.386ns/-28.585ns; real time: 22 secs
1246
Level 4, iteration 1
1247
142(0.04%) conflicts; 0(0.00%) untouched conn; 28426 (nbr) score;
1248
Estimated worst slack/total negative slack: -0.520ns/-28.426ns; real time: 23 secs
1249
Level 4, iteration 2
1250
61(0.02%) conflicts; 0(0.00%) untouched conn; 45382 (nbr) score;
1251
Estimated worst slack/total negative slack: -0.773ns/-45.382ns; real time: 24 secs
1252
Level 4, iteration 3
1253
39(0.01%) conflicts; 0(0.00%) untouched conn; 90697 (nbr) score;
1254
Estimated worst slack/total negative slack: -1.024ns/-90.698ns; real time: 24 secs
1255
Level 4, iteration 4
1256
25(0.01%) conflicts; 0(0.00%) untouched conn; 90697 (nbr) score;
1257
Estimated worst slack/total negative slack: -1.024ns/-90.698ns; real time: 24 secs
1258
Level 4, iteration 5
1259
16(0.00%) conflicts; 0(0.00%) untouched conn; 69062 (nbr) score;
1260
Estimated worst slack/total negative slack: -1.024ns/-69.062ns; real time: 24 secs
1261
Level 4, iteration 6
1262
11(0.00%) conflicts; 0(0.00%) untouched conn; 69062 (nbr) score;
1263
Estimated worst slack/total negative slack: -1.024ns/-69.062ns; real time: 24 secs
1264
Level 4, iteration 7
1265
4(0.00%) conflicts; 0(0.00%) untouched conn; 73619 (nbr) score;
1266
Estimated worst slack/total negative slack: -1.024ns/-73.619ns; real time: 25 secs
1267
Level 4, iteration 8
1268
2(0.00%) conflicts; 0(0.00%) untouched conn; 73619 (nbr) score;
1269
Estimated worst slack/total negative slack: -1.024ns/-73.619ns; real time: 25 secs
1270
Level 4, iteration 9
1271
2(0.00%) conflicts; 0(0.00%) untouched conn; 78251 (nbr) score;
1272
Estimated worst slack/total negative slack: -1.024ns/-78.251ns; real time: 25 secs
1273
Level 4, iteration 10
1274
6(0.00%) conflicts; 0(0.00%) untouched conn; 78251 (nbr) score;
1275
Estimated worst slack/total negative slack: -1.024ns/-78.251ns; real time: 25 secs
1276
Level 4, iteration 11
1277
3(0.00%) conflicts; 0(0.00%) untouched conn; 76140 (nbr) score;
1278
Estimated worst slack/total negative slack: -1.022ns/-76.140ns; real time: 25 secs
1279
Level 4, iteration 12
1280
2(0.00%) conflicts; 0(0.00%) untouched conn; 76140 (nbr) score;
1281
Estimated worst slack/total negative slack: -1.022ns/-76.140ns; real time: 25 secs
1282
Level 4, iteration 13
1283
0(0.00%) conflict; 0(0.00%) untouched conn; 76140 (nbr) score;
1284
Estimated worst slack/total negative slack: -1.022ns/-76.140ns; real time: 25 secs
1285
 
1286
Start NBR section for performance tunning (iteration 1)
1287
Level 4, iteration 1
1288
5(0.00%) conflicts; 0(0.00%) untouched conn; 36615 (nbr) score;
1289
Estimated worst slack/total negative slack: -0.533ns/-36.615ns; real time: 25 secs
1290
Level 4, iteration 2
1291
2(0.00%) conflicts; 0(0.00%) untouched conn; 76875 (nbr) score;
1292
Estimated worst slack/total negative slack: -0.978ns/-76.876ns; real time: 25 secs
1293
Level 4, iteration 3
1294
3(0.00%) conflicts; 0(0.00%) untouched conn; 57060 (nbr) score;
1295
Estimated worst slack/total negative slack: -0.720ns/-57.061ns; real time: 25 secs
1296
Level 4, iteration 4
1297
3(0.00%) conflicts; 0(0.00%) untouched conn; 57060 (nbr) score;
1298
Estimated worst slack/total negative slack: -0.720ns/-57.061ns; real time: 25 secs
1299
Level 4, iteration 5
1300
4(0.00%) conflicts; 0(0.00%) untouched conn; 57010 (nbr) score;
1301
Estimated worst slack/total negative slack: -0.720ns/-57.011ns; real time: 25 secs
1302
Level 4, iteration 6
1303
1(0.00%) conflict; 0(0.00%) untouched conn; 57010 (nbr) score;
1304
Estimated worst slack/total negative slack: -0.720ns/-57.011ns; real time: 26 secs
1305
Level 4, iteration 7
1306
1(0.00%) conflict; 0(0.00%) untouched conn; 57010 (nbr) score;
1307
Estimated worst slack/total negative slack: -0.720ns/-57.011ns; real time: 26 secs
1308
Level 4, iteration 8
1309
0(0.00%) conflict; 0(0.00%) untouched conn; 57010 (nbr) score;
1310
Estimated worst slack/total negative slack: -0.720ns/-57.011ns; real time: 26 secs
1311
 
1312
Start NBR section for performance tunning (iteration 2)
1313
Level 4, iteration 1
1314
9(0.00%) conflicts; 0(0.00%) untouched conn; 32716 (nbr) score;
1315
Estimated worst slack/total negative slack: -0.533ns/-32.716ns; real time: 26 secs
1316
Level 4, iteration 2
1317
1(0.00%) conflict; 0(0.00%) untouched conn; 85934 (nbr) score;
1318
Estimated worst slack/total negative slack: -0.975ns/-85.934ns; real time: 26 secs
1319
 
1320
Start NBR section for re-routing
1321
Level 4, iteration 1
1322
0(0.00%) conflict; 0(0.00%) untouched conn; 56461 (nbr) score;
1323
Estimated worst slack/total negative slack: -0.711ns/-56.462ns; real time: 26 secs
1324
 
1325
Start NBR section for post-routing
1326
 
1327
End NBR router with 0 unrouted connection
1328
 
1329
NBR Summary
1330
-----------
1331
  Number of unrouted connections : 0 (0.00%)
1332
  Number of connections with timing violations : 157 (1.74%)
1333
  Estimated worst slack : -0.711ns
1334
  Timing score : 36125
1335
-----------
1336
Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored.
1337
 
1338
 
1339
 
1340
------------------------------------------------------------------------------------------------------------------------------------
1341
WARNING - par: Hold timing correction is skipped because the worst (setup) slack(-0.711ns) is worse than the default value(0.000ns).
1342
------------------------------------------------------------------------------------------------------------------------------------
1343
 
1344
Total CPU time 26 secs
1345
Total REAL time: 27 secs
1346
Completely routed.
1347
End of route.  9028 routed (100.00%); 0 unrouted.
1348
Checking DRC ...
1349
No errors found.
1350
 
1351
Hold time timing score: 0, hold timing errors: 0
1352
 
1353
Timing score: 36125
1354
 
1355
Dumping design to file P6809_P6809.dir/5_1.ncd.
1356
 
1357
 
1358
PAR_SUMMARY::Run status = completed
1359
PAR_SUMMARY::Number of unrouted conns = 0
1360
PAR_SUMMARY::Worst  slack> = -0.711
1361
PAR_SUMMARY::Timing score> = 36.125
1362
PAR_SUMMARY::Worst  slack> = 
1363
PAR_SUMMARY::Timing score> = 
1364
 
1365
Total CPU  time to completion: 27 secs
1366
Total REAL time to completion: 28 secs
1367
 
1368
par done!
1369
 
1370
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
1371
Copyright (c) 1995 AT&T Corp.   All rights reserved.
1372
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
1373
Copyright (c) 2001 Agere Systems   All rights reserved.
1374
Copyright (c) 2002-2013 Lattice Semiconductor Corporation,  All rights reserved.
1375
Exiting par with exit code 0
1376
Exiting mpartrce with exit code 0
1377
 
1378
trce -f "P6809_P6809.pt" -o "P6809_P6809.twr" "P6809_P6809.ncd" "P6809_P6809.prf"
1379
trce:  version Diamond (64-bit) 2.2.0.101
1380
 
1381
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
1382
Copyright (c) 1995 AT&T Corp.   All rights reserved.
1383
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
1384
Copyright (c) 2001 Agere Systems   All rights reserved.
1385
Copyright (c) 2002-2013 Lattice Semiconductor Corporation,  All rights reserved.
1386
 
1387
Loading design for application trce from file P6809_P6809.ncd.
1388
Design name: CC3_top
1389
NCD version: 3.2
1390
Vendor:      LATTICE
1391
Device:      LCMXO2-7000HE
1392
Package:     TQFP144
1393
Performance: 4
1394
Loading device for application trce from file 'xo2c7000.nph' in environment: /usr/local/diamond/2.2_x64/ispfpga.
1395
Package Status:                     Final          Version 1.36
1396
Performance Hardware Data Status:   Final)         Version 23.4
1397
Setup and Hold Report
1398
 
1399
--------------------------------------------------------------------------------
1400
Lattice TRACE Report - Setup, Version Diamond (64-bit) 2.2.0.101
1401
Wed Jan  1 11:06:15 2014
1402
 
1403
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
1404
Copyright (c) 1995 AT&T Corp.   All rights reserved.
1405
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
1406
Copyright (c) 2001 Agere Systems   All rights reserved.
1407
Copyright (c) 2002-2013 Lattice Semiconductor Corporation,  All rights reserved.
1408
 
1409
Report Information
1410
------------------
1411
Command line:    trce -v 10 -gt -sethld -sp 4 -sphld m -o P6809_P6809.twr P6809_P6809.ncd P6809_P6809.prf
1412
Design file:     P6809_P6809.ncd
1413
Preference file: P6809_P6809.prf
1414
Device,speed:    LCMXO2-7000HE,4
1415
Report level:    verbose report, limited to 10 items per preference
1416
--------------------------------------------------------------------------------
1417
 
1418
BLOCK ASYNCPATHS
1419
BLOCK RESETPATHS
1420
--------------------------------------------------------------------------------
1421
 
1422
 
1423
 
1424
Timing summary (Setup):
1425
---------------
1426
 
1427
Timing errors: 204  Score: 36125
1428
Cumulative negative slack: 36125
1429
 
1430
Constraints cover 3952043 paths, 1 nets, and 8953 connections (99.2% coverage)
1431
 
1432
--------------------------------------------------------------------------------
1433
Lattice TRACE Report - Hold, Version Diamond (64-bit) 2.2.0.101
1434
Wed Jan  1 11:06:15 2014
1435
 
1436
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
1437
Copyright (c) 1995 AT&T Corp.   All rights reserved.
1438
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
1439
Copyright (c) 2001 Agere Systems   All rights reserved.
1440
Copyright (c) 2002-2013 Lattice Semiconductor Corporation,  All rights reserved.
1441
 
1442
Report Information
1443
------------------
1444
Command line:    trce -v 10 -gt -sethld -sp 4 -sphld m -o P6809_P6809.twr P6809_P6809.ncd P6809_P6809.prf
1445
Design file:     P6809_P6809.ncd
1446
Preference file: P6809_P6809.prf
1447
Device,speed:    LCMXO2-7000HE,m
1448
Report level:    verbose report, limited to 10 items per preference
1449
--------------------------------------------------------------------------------
1450
 
1451
BLOCK ASYNCPATHS
1452
BLOCK RESETPATHS
1453
--------------------------------------------------------------------------------
1454
 
1455
 
1456
 
1457
Timing summary (Hold):
1458
---------------
1459
 
1460
Timing errors: 0  Score: 0
1461
Cumulative negative slack: 0
1462
 
1463
Constraints cover 3952043 paths, 1 nets, and 8953 connections (99.2% coverage)
1464
 
1465
 
1466
 
1467
Timing summary (Setup and Hold):
1468
---------------
1469
 
1470
Timing errors: 204 (setup), 0 (hold)
1471
Score: 36125 (setup), 0 (hold)
1472
Cumulative negative slack: 36125 (36125+0)
1473
--------------------------------------------------------------------------------
1474
 
1475
--------------------------------------------------------------------------------
1476
 
1477
Total time: 0 secs

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.