OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [tags/] [rel0/] [bench/] [verilog/] [oc8051_fpga_tb.v] - Blame information for rev 186

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 simont
// synopsys translate_off
2
`include "oc8051_timescale.v"
3
// synopsys translate_on
4
 
5
module oc8051_fpga_tb;
6
 
7
reg rst, clk, int1, int2, int3;
8
 
9
wire  sw1, sw2, sw3, sw4, int_act;
10
wire [7:0] p0_out, p1_out, p2_out, p3_out, data_out;
11
wire [13:0] dispout;
12
wire [15:0] ext_addr;
13
 
14
oc8051_fpga_top oc8051_fpga_top1(.clk(clk), .rst(rst), .int1(int1), .int2(int2), .int3(int3), .sw1(sw1), .sw2(sw2), .sw3(sw3), .sw4(sw4),
15
                      .int_act(int_act), .dispout(dispout), .p0_out(p0_out), .p1_out(p1_out), .p2_out(p2_out), .p3_out(p3_out), .data_out(data_out),
16
                      .ext_addr(ext_addr));
17
 
18
initial begin
19
  clk= 1'b0;
20
  rst= 1'b0;
21
  int1= 1'b1;
22
  int2= 1'b1;
23
  int3= 1'b1;
24
#22
25
  rst = 1'b1;
26
#1000
27
  int2= 1'b0;
28
#100
29
  int2= 1'b1;
30
 
31
#40000
32
  int3= 1'b0;
33
#100
34
  int3= 1'b1;
35
#40000
36
 
37
  rst = 1'b0;
38
#20
39
  $finish;
40
end
41
 
42
always clk = #5 ~clk;
43
 
44
initial $dumpvars;
45
 
46
//initial $monitor("time ",$time," rst ",rst, " int1 ", int1, " int2 ", int2, " int3 ", int3, " sw1 ", sw1, " sw2 ", sw2, " sw3 ", sw3, " sw4 ", sw4, " int act ", int_act, " p0_out %h", p0_out);
47
 
48
initial $monitor("time ",$time," rst ",rst, " int1 ", int1, " int2 ", int2, " int3 ", int3, " int act ", int_act, " p0_out %h", p0_out);
49
 
50
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.