OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [tags/] [rel_1/] [bench/] [verilog/] [oc8051_uart_test.v] - Blame information for rev 186

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 97 simont
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  8051 uart test                                              ////
4
////                                                              ////
5
////  This file is part of the 8051 cores project                 ////
6
////  http://www.opencores.org/cores/8051/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////   submodul of oc8051_tb, used to comunicate with 8051        ////
10
////   serial potr                                                ////
11
////                                                              ////
12
////  To Do:                                                      ////
13
////   nothing                                                    ////
14
////                                                              ////
15
////  Author(s):                                                  ////
16
////      - Simon Teran, simont@opencores.org                     ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43
//////////////////////////////////////////////////////////////////////
44
//
45
// CVS Revision History
46
//
47
// $Log: not supported by cvs2svn $
48 125 simont
// Revision 1.1  2003/04/02 11:38:40  simont
49
// initial inport
50
//
51 97 simont
// Revision 1.4  2002/09/30 17:34:01  simont
52
// prepared header
53
//
54
//
55
 
56
// synopsys translate_off
57
`include "oc8051_timescale.v"
58
// synopsys translate_on
59
 
60
 
61
module oc8051_uart_test (clk, rst, addr, wr, wr_bit, data_in, data_out, bit_out, rxd, txd, ow, intr, ack, stb);
62
//
63
// serial interface simulation. part of oc8051_tb
64
//
65
// clk          (in)  clock
66
// rst          (in)  reset
67
// addr         (in)  addres [oc8051.ext_addr]
68
// wr           (in)  write [oc8051.write]
69
// wr_bit       (in) write bit addresable [oc8051.p3_out.0]
70
// data_in      (out) data input [oc8051.data_out]
71
// data_out     (in)  data output [oc8051.data_in]
72
// rxd          (in)  receive data [oc8051.txd]
73
// txd          (out) transmit data [oc8051.rxd]
74
// ow           (in)  owerflov (used in mode 1 and 3) [oc8051.p3_out.1]
75
// intr         (out) interrupt request [oc8051.p3_in.0]
76
//
77
 
78
input clk, rst, wr, wr_bit, rxd, ow, stb;
79
input [7:0] addr, data_in;
80
 
81
output txd, intr, bit_out, ack;
82
output [7:0] data_out;
83 125 simont
reg [7:0] data_out;
84 97 simont
 
85 125 simont
 
86 97 simont
wire syn;
87
reg wr_r, ack;
88
reg [7:0] addr_r, data_in_r;
89
 
90 125 simont
wire tclk, rclk, brate2;
91
assign tclk   = 0;
92
assign rclk   = 0;
93
assign brate2 = 0;
94 97 simont
 
95 125 simont
reg       pres_ow;
96
reg [3:0] prescaler;
97 97 simont
 
98 125 simont
wire [7:0] scon, pcon, sbuf;
99 97 simont
 
100 125 simont
oc8051_uart oc8051_uart_test(.rst(rst), .clk(clk), .bit_in(data_in[0]),
101
             .data_in(data_in_r), .wr(wr_r), .wr_bit(wr_bit), .wr_addr(addr_r),
102
             .rxd(rxd), .txd(txd), .intr(intr), .t1_ow(ow),
103
             .rclk(rclk), .tclk(tclk),
104
             .pres_ow(pres_ow), .brate2(brate2),
105
             .scon(scon), .pcon(pcon), .sbuf(sbuf));
106
 
107 97 simont
always @(posedge clk)
108
begin
109
  if (ack) ack <= #1 1'b0;
110 125 simont
  else
111 97 simont
    ack <= #1 stb;
112
end
113
 
114
always @(posedge clk)
115
begin
116
  wr_r <= #1 wr;
117
  addr_r <= #1 addr;
118
  data_in_r <= #1 data_in;
119
end
120
 
121 125 simont
always @(posedge clk or posedge rst)
122
begin
123
  if (rst) begin
124
    prescaler <= #1 4'h5;
125
    pres_ow <= #1 1'b0;
126
  end else if (prescaler==4'b1011) begin
127
    prescaler <= #1 4'h0;
128
    pres_ow <= #1 1'b1;
129
  end else begin
130
    prescaler <= #1 prescaler + 4'h1;
131
    pres_ow <= #1 1'b0;
132
  end
133
end
134 97 simont
 
135 125 simont
always @(addr or
136
// serial interface
137
         scon or pcon or sbuf)
138
begin
139
    case (addr)
140
      `OC8051_SFR_SCON:         data_out = scon;
141
      `OC8051_SFR_SBUF:         data_out = sbuf;
142
      `OC8051_SFR_PCON:         data_out = pcon;
143
      default:                  data_out = 8'h00;
144
    endcase
145
end
146
 
147
assign bit_out = scon[addr[2:0]];
148
 
149
 
150 97 simont
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.