OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [tags/] [rel_1/] [rtl/] [verilog/] [oc8051_multiply.v] - Blame information for rev 21

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 simont
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// multiply for 8051 Core                                       ////
4
////                                                              ////
5
//// This file is part of the 8051 cores project                  ////
6
//// http://www.opencores.org/cores/8051/                         ////
7
////                                                              ////
8
//// Description                                                  ////
9
//// Implementation of multipication used in alu.v                ////
10
////                                                              ////
11
//// To Do:                                                       ////
12
////  Nothing                                                     ////
13
////                                                              ////
14
//// Author(s):                                                   ////
15
//// - Simon Teran, simont@opencores.org                          ////
16 4 markom
//// - Marko Mlinar, markom@opencores.org                         ////
17 2 simont
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2001 Authors and OPENCORES.ORG                 ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE. See the GNU Lesser General Public License for more  ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43
//////////////////////////////////////////////////////////////////////
44
//
45
// ver: 1
46
//
47 4 markom
// ver: 2 markom
48
// changed to two cycle multiplication, to save resources and
49
// increase speed
50 20 markom
//
51
// ver: 3 markom
52
// changed to four cycle multiplication, to save resources and
53
// increase speed
54 2 simont
 
55
// synopsys translate_off
56
`include "oc8051_timescale.v"
57
// synopsys translate_on
58
 
59
 
60 4 markom
module oc8051_multiply (clk, rst, enable, src1, src2, des1, des2, desOv);
61 2 simont
//
62
// this module is part of alu
63 4 markom
// clk          (in)
64
// rst          (in)
65
// enable       (in)
66 2 simont
// src1         (in)  first operand
67
// src2         (in)  second operand
68
// des1         (out) first result
69
// des2         (out) second result
70
// desOv        (out) Overflow output
71
//
72
 
73 4 markom
input clk, rst, enable;
74 2 simont
input [7:0] src1, src2;
75
output desOv;
76
output [7:0] des1, des2;
77
 
78 4 markom
// wires
79
wire [15:0] mul_result1, mul_result;
80
 
81
// real registers
82 20 markom
reg [1:0] cycle;
83
reg [13:0] tmp_mul;
84 4 markom
 
85 20 markom
assign mul_result1 = src1 * (cycle == 0 ? src2[7:6]
86
                           : cycle == 1 ? src2[5:4]
87
                           : cycle == 2 ? src2[3:2]
88
                           : src2[1:0]);
89
 
90 21 markom
assign mul_result = mul_result1 + ({2'b0, tmp_mul} << {cycle, 1'b0});
91 4 markom
assign des1 = mul_result[7:0];
92
assign des2 = mul_result[15:8];
93
assign desOv = des2 != 8'h0;
94
 
95
always @(posedge clk or posedge rst)
96 2 simont
begin
97 5 markom
  if (rst) begin
98
    cycle <= #1 1'b0;
99 20 markom
    tmp_mul <= #1 14'b0;
100 5 markom
  end else begin
101 20 markom
    if (enable || cycle != 0) cycle <= #1 cycle + 2'b1;
102 21 markom
    tmp_mul <= #1 mul_result[13:0];
103 4 markom
  end
104 2 simont
end
105
 
106
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.