OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [tags/] [rel_1/] [rtl/] [verilog/] [oc8051_ram_top.v] - Blame information for rev 89

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 82 simont
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  8051 data ram                                               ////
4
////                                                              ////
5
////  This file is part of the 8051 cores project                 ////
6
////  http://www.opencores.org/cores/8051/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////   data ram                                                   ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   nothing                                                    ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Simon Teran, simont@opencores.org                     ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 89 rherveille
// Revision 1.5  2003/01/13 14:14:41  simont
48
// replace some modules
49
//
50 82 simont
// Revision 1.4  2002/09/30 17:33:59  simont
51
// prepared header
52
//
53
//
54
 
55
// synopsys translate_off
56
`include "oc8051_timescale.v"
57
// synopsys translate_on
58
 
59
`include "oc8051_defines.v"
60
 
61
 
62
module oc8051_ram_top (clk, rst, rd_addr, rd_data, wr_addr, bit_addr, wr_data, wr, bit_data_in, bit_data_out);
63 89 rherveille
 
64
// on-chip ram-size (2**ram_aw bytes)
65
parameter ram_aw = 8; // default 256 bytes
66
 
67
 
68 82 simont
//
69
// clk          (in)  clock
70
// rd_addr      (in)  read addres [oc8051_ram_rd_sel.out]
71
// rd_data      (out) read data [oc8051_ram_sel.in_ram]
72
// wr_addr      (in)  write addres [oc8051_ram_wr_sel.out]
73
// bit_addr     (in)  bit addresable instruction [oc8051_decoder.bit_addr -r]
74
// wr_data      (in)  write data [oc8051_alu.des1]
75
// wr           (in)  write [oc8051_decoder.wr -r]
76
// bit_data_in  (in)  bit data input [oc8051_alu.desCy]
77
// bit_data_out (out)  bit data output [oc8051_ram_sel.bit_in]
78
//
79
 
80
input clk, wr, bit_addr, bit_data_in, rst;
81
input [7:0] wr_data;
82
input [7:0] rd_addr, wr_addr;
83
output bit_data_out;
84
output [7:0] rd_data;
85
 
86
 
87
// rd_addr_m    read address modified
88
// wr_addr_m    write address modified
89
// wr_data_m    write data modified
90
reg [7:0] wr_data_m;
91
reg [7:0] rd_addr_m, wr_addr_m;
92
 
93
// bit_addr_r   bit addresable instruction (registerd)
94
reg bit_addr_r;
95
reg [2:0] bit_select;
96
 
97
assign bit_data_out = rd_data[bit_select];
98
 
99
 
100 89 rherveille
/*
101 82 simont
oc8051_ram oc8051_ram1(.clk(clk), .rst(rst), .rd_addr(rd_addr_m), .rd_data(rd_data), .wr_addr(wr_addr_m),
102
         .wr_data(wr_data_m), .wr(wr));
103 89 rherveille
*/
104 82 simont
 
105 89 rherveille
generic_dpram #(ram_aw, 8) oc8051_ram1(
106
        .rclk  ( clk       ),
107
        .rrst  ( rst       ),
108
        .rce   ( 1'b1      ),
109
        .oe    ( 1'b1      ),
110
        .raddr ( rd_addr_m ),
111
        .do    ( rd_data   ),
112 82 simont
 
113 89 rherveille
        .wclk  ( clk       ),
114
        .wrst  ( rst       ),
115
        .wce   ( 1'b1      ),
116
        .we    ( wr        ),
117
        .waddr ( wr_addr_m ),
118
        .di    ( wr_data_m )
119
);
120
 
121
 
122 82 simont
always @(posedge clk or posedge rst)
123
  if (rst) begin
124
    bit_addr_r <= #1 1'b0;
125
    bit_select <= #1 3'b0;
126
  end else begin
127
    bit_addr_r <= #1 bit_addr;
128
    bit_select <= #1 rd_addr[2:0];
129
  end
130
 
131 89 rherveille
 
132 82 simont
always @(rd_addr or bit_addr)
133 89 rherveille
  casex ( {bit_addr, rd_addr[7]} ) // synopsys full_case parallel_case
134
      2'b0?: rd_addr_m = rd_addr;
135
      2'b10: rd_addr_m = {4'b0010, rd_addr[6:3]};
136
      2'b11: rd_addr_m = {1'b1, rd_addr[6:3], 3'b000};
137 82 simont
  endcase
138
 
139 89 rherveille
 
140 82 simont
always @(wr_addr or bit_addr_r)
141 89 rherveille
  casex ( {bit_addr_r, wr_addr[7]} ) // synopsys full_case parallel_case
142
      2'b0?: wr_addr_m = wr_addr;
143
      2'b10: wr_addr_m = {8'h00, 4'b0010, wr_addr[6:3]};
144
      2'b11: wr_addr_m = {8'h00, 1'b1, wr_addr[6:3], 3'b000};
145 82 simont
  endcase
146
 
147 89 rherveille
 
148 82 simont
always @(rd_data or bit_select or bit_data_in or wr_data or bit_addr_r)
149 89 rherveille
  casex ( {bit_addr_r, bit_select} ) // synopsys full_case parallel_case
150
      4'b0_???: wr_data_m = wr_data;
151
      4'b1_000: wr_data_m = {rd_data[7:1], bit_data_in};
152
      4'b1_001: wr_data_m = {rd_data[7:2], bit_data_in, rd_data[0]};
153
      4'b1_010: wr_data_m = {rd_data[7:3], bit_data_in, rd_data[1:0]};
154
      4'b1_011: wr_data_m = {rd_data[7:4], bit_data_in, rd_data[2:0]};
155
      4'b1_100: wr_data_m = {rd_data[7:5], bit_data_in, rd_data[3:0]};
156
      4'b1_101: wr_data_m = {rd_data[7:6], bit_data_in, rd_data[4:0]};
157
      4'b1_110: wr_data_m = {rd_data[7], bit_data_in, rd_data[5:0]};
158
      4'b1_111: wr_data_m = {bit_data_in, rd_data[6:0]};
159
  endcase
160 82 simont
 
161
 
162
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.