OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [tags/] [rel_1/] [rtl/] [verilog/] [oc8051_top.v] - Blame information for rev 62

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 simont
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  8051 cores top level module                                 ////
4
////                                                              ////
5
////  This file is part of the 8051 cores project                 ////
6
////  http://www.opencores.org/cores/8051/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  8051 definitions.                                           ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////    nothing                                                   ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Simon Teran, simont@opencores.org                     ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46 54 simont
// $Log: not supported by cvs2svn $
47 62 simont
// Revision 1.14  2002/10/17 18:50:00  simont
48
// cahnge interface to instruction rom
49
//
50 54 simont
// Revision 1.13  2002/09/30 17:33:59  simont
51
// prepared header
52 46 simont
//
53 54 simont
//
54 46 simont
 
55
// synopsys translate_off
56
`include "oc8051_timescale.v"
57
// synopsys translate_on
58
 
59
 
60 54 simont
module oc8051_top (rst, clk, int0, int1, ea, iadr_o, idat_i,istb_o, iack_i, dat_i,
61
                icyc_o, dat_o, adr_o, we_o, ack_i, stb_o, cyc_o, p0_in, p1_in, p2_in, p3_in, p0_out,
62 46 simont
                p1_out, p2_out, p3_out, rxd, txd, t0, t1);
63
//
64
// rst           (in)  reset - pin
65
// clk           (in)  clock - pin
66 54 simont
// iadr_o        (out) program rom addres (pin + internal)
67 46 simont
// int0          (in)  external interrupt 0
68
// int1          (in)  external interrupt 1
69
// dat_i         (in)  exteranal ram input
70
// dat_o         (out) exteranal ram output
71
// adr_o         (out) external address
72
// we_o          (out) write to external ram
73
// stb_o
74
// ack_i
75 54 simont
// idat_i        (in)  data from external program rom
76
// istb_o        (out) strobe to program rom
77
// iack_i        (in)  acknowlage from external rom
78
// icyc_o        (out)
79 46 simont
// p0_in, p1_in, p2_in, p3_in           (in)  port inputs
80
// p0_out, p1_out, p2_out, p3_out       (out) port outputs
81
// rxd           (in) receive
82
// txd           (out) transmit
83
// t0, t1        (in)  t/c external inputs
84
//
85
//
86
 
87
 
88
 
89 54 simont
input rst, clk, int0, int1, ea, rxd, t0, t1, ack_i, iack_i;
90
input [7:0] dat_i, p0_in, p1_in, p2_in, p3_in;
91
input [31:0] idat_i;
92 46 simont
 
93 54 simont
output we_o, txd, stb_o, cyc_o, istb_o, icyc_o;
94 46 simont
output [7:0] dat_o, p0_out, p1_out, p2_out, p3_out;
95
//output [15:0] rom_addr, ext_addr;
96 54 simont
output [15:0] adr_o, iadr_o;
97 46 simont
 
98
wire [7:0] op1_i, op2_i, op3_i, dptr_hi, dptr_lo, ri, data_out;
99 54 simont
wire [7:0] op1, op2, op3;
100 46 simont
wire [7:0] acc, b_reg, p0_out, p1_out, p2_out, p3_out, uart, tc_out, int_out;
101
 
102
wire [15:0] pc;
103
 
104
//
105
// data output is always from accumulator
106
assign dat_o = acc;
107
 
108
assign cyc_o = stb_o;
109 54 simont
assign icyc_o = istb_o;
110 46 simont
 
111 54 simont
 
112
assign op1 = idat_i[31:24];
113
assign op2 = idat_i[23:16];
114
assign op3 = idat_i[15:8];
115
 
116 46 simont
//
117
// ram_rd_sel    ram read (internal)
118
// ram_wr_sel    ram write (internal)
119
// src_sel1, src_sel2    from decoder to register
120
// imm_sel       immediate select
121
wire [1:0] ram_rd_sel, src_sel1, src_sel2;
122
wire [2:0] ram_wr_sel, ram_wr_sel_r, imm_sel;
123
 
124
//
125
// wr_addr       ram write addres
126
// ram_out       data from ram
127
// sp            stack pointer output
128
// rd_addr       data ram read addres
129
// rd_addr_r     data ram read addres registerd
130
wire [7:0] wr_addr, ram_data, ram_out, sp, sp_r, rd_addr, rd_addr_r, ports_in;
131
 
132
 
133
//
134
// src_sel1_r, src_sel2_r       src select, registred
135
// cy_sel       carry select; from decoder to cy_selct1
136
// rom_addr_sel rom addres select; alu or pc
137
// ext_adddr_sel        external addres select; data pointer or Ri
138
// write_p      output from decoder; write to external ram, go to register;
139
wire [1:0] src_sel1_r, src_sel2_r, cy_sel, cy_sel_r;
140
wire src_sel3, src_sel3_r, rom_addr_sel, ext_addr_sel, rmw, ea_int, wr_xaddr;
141
 
142
//
143
// int_uart     interrupt from uart
144
// tf0          interrupt from t/c 0
145
// tf1          interrupt from t/c 1
146
// tr0          timer 0 run
147
// tr1          timer 1 run
148 54 simont
wire int_uart, tf0, tf1, tr0, tr1, reti, intr, ack, istb;
149 46 simont
wire [7:0] int_src;
150
 
151
//
152
//alu_op        alu operation (from decoder)
153
//alu_op_r      alu operation (registerd)
154
//psw_set       write to psw or not; from decoder to psw (through register)
155
wire [3:0] alu_op, alu_op_r; wire [1:0] psw_set, psw_set_r;
156
 
157
//
158
// immediate1_r         from imediate_sel1 to alu_src1_sel1
159
// immediate2_r         from imediate_sel1 to alu_src2_sel1
160
// src1. src2, src2     alu sources
161
// des2, des2           alu destinations
162
// des1_r               destination 1 registerd (to comp1)
163
// psw                  output from psw
164
// desCy                carry out
165
// desAc
166
// desOv                overflow
167
// wr, wr_r             write to data ram
168
wire [7:0] src1, src2, src3, des1, des2, des1_r, psw, psw_r;
169
wire desCy, desAc, desOv, alu_cy, wr, wr_r;
170
wire [7:0] immediate1_r, immediate2_r;
171
 
172
 
173
//
174
// rd           read program rom
175
// pc_wr_sel    program counter write select (from decoder to pc)
176
wire rd, pc_wr;
177
wire [1:0] pc_wr_sel;
178
 
179
//
180
// op1_n                from op_select to decoder
181
// op2_n,         output of op_select, to immediate_sel1, pc1, comp1
182
// op3_n,         output of op_select, to immediate_sel1, ram_wr_sel1
183
// op2_dr,      output of op_select, to ram_rd_sel1, ram_wr_sel1
184
wire [7:0] op1_n, op2_n, op2_dr, op3_n, pc_hi_r;
185
wire [7:0] op2_dr_r, ri_r, op3_nr;
186
wire [2:0] op1_r;
187
 
188
//
189
// comp_sel     select source1 and source2 to compare
190
// eq           result (from comp1 to decoder)
191
// wad2, wad2_r write to accumulator from destination 2
192
wire [1:0] comp_sel;
193 62 simont
wire eq, wad2, wad2_r, nop;
194 46 simont
 
195
 
196
//
197
// bit_addr     bit addresable instruction
198
// bit_data     bit data from ram to ram_select
199
// bit_out      bit data from ram_select to alu and cy_select
200
wire bit_addr, bit_data, bit_out, bit_addr_r;
201
 
202
//
203
// p     parity from accumulator to psw
204 62 simont
wire p, pc_wait;
205 46 simont
wire b_bit, acc_bit, psw_bit, int_bit, port_bit, uart_bit;
206
 
207
 
208
//
209
//registers
210
oc8051_reg8 oc8051_reg8_pc_hi(.clk(clk), .rst(rst), .din(pc[15:8]), .dout(pc_hi_r));
211
//oc8051_reg1 oc8051_reg1_write(.clk(clk), .rst(rst), .din(write_p), .dout(we_o));
212
 
213
oc8051_reg2 oc8051_reg2_src_sel1(.clk(clk), .rst(rst), .din(src_sel1), .dout(src_sel1_r));
214
oc8051_reg2 oc8051_reg2_src_sel2(.clk(clk), .rst(rst), .din(src_sel2), .dout(src_sel2_r));
215
oc8051_reg1 oc8051_reg1_sre_sel3(.clk(clk), .rst(rst), .din(src_sel3), .dout(src_sel3_r));
216
 
217
oc8051_reg1 oc8051_reg1_wr (.clk(clk), .rst(rst), .din(wr), .dout(wr_r));
218
//oc8051_reg8 oc8051_reg8_wr_addr (.clk(clk), .rst(rst), .din(wr_addr1), .dout(wr_addr_r));
219
oc8051_reg3 oc8051_reg3_wr_sel(.clk(clk), .rst(rst), .din(ram_wr_sel), .dout(ram_wr_sel_r));
220
oc8051_reg3 oc8051_reg3_op1(.clk(clk), .rst(rst), .din(op1_n[2:0]), .dout(op1_r));
221
oc8051_reg8 oc8051_reg8_op2(.clk(clk), .rst(rst), .din(op2_dr), .dout(op2_dr_r));
222
oc8051_reg8 oc8051_reg8_ri(.clk(clk), .rst(rst), .din(ri), .dout(ri_r));
223
oc8051_reg8 oc8051_reg8_op3(.clk(clk), .rst(rst), .din(op3_n), .dout(op3_nr));
224
//oc8051_reg5 oc8051_reg5_rn(.clk(clk), .rst(rst), .din({psw[4:3], op1_n[2:0]}), .dout(rn_r));
225
 
226
oc8051_reg4 oc8051_reg4_alu_op(.clk(clk), .rst(rst), .din(alu_op), .dout(alu_op_r));
227
 
228
oc8051_reg1 oc8051_reg1_bit_addr(.clk(clk), .rst(rst), .din(bit_addr), .dout(bit_addr_r));
229
 
230
oc8051_reg1 oc8051_reg1_wad2(.clk(clk), .rst(rst), .din(wad2), .dout(wad2_r));
231
//oc8051_reg8 oc8051_reg8_des1(.clk(clk), .rst(rst), .din(des1), .dout(des1_r));
232
oc8051_reg2 oc8051_reg2_cy(.clk(clk), .rst(rst), .din(cy_sel), .dout(cy_sel_r));
233
oc8051_reg2 oc8051_psw_reg (.clk(clk), .rst(rst), .din(psw_set), .dout(psw_set_r));
234
//oc8051_reg8 oc8051_op2_dr_reg (.clk(clk), .rst(rst), .din(op2_dr), .dout(op2_dr_r));
235
oc8051_reg8 oc8051_reg8_rd_ram (.clk(clk), .rst(rst), .din(rd_addr), .dout(rd_addr_r));
236
 
237
//
238
//program counter
239
oc8051_pc oc8051_pc1(.rst(rst), .clk(clk), .pc_out(pc), .alu({des2,des1}),
240
       .pc_wr_sel(pc_wr_sel), .op1(op1_n), .op2(op2_n), .op3(op3_n), .wr(pc_wr),
241 62 simont
       .rd((pc_wait && !(istb_o && !iack_i))), .intr(intr));
242 46 simont
 
243
//
244
// decoder
245 62 simont
oc8051_decoder oc8051_decoder1(.clk(clk), .rst(rst), .op_in(op1_n),
246
     .ram_rd_sel(ram_rd_sel), .ram_wr_sel(ram_wr_sel), .bit_addr(bit_addr),
247
     .src_sel1(src_sel1), .wr_xaddr(wr_xaddr), .src_sel2(src_sel2),
248
     .src_sel3(src_sel3), .alu_op(alu_op), .psw_set(psw_set),
249
     .imm_sel(imm_sel), .cy_sel(cy_sel), .wr(wr), .pc_wr(pc_wr),
250
     .pc_sel(pc_wr_sel), .comp_sel(comp_sel), .eq(eq),
251
     .rom_addr_sel(rom_addr_sel), .ext_addr_sel(ext_addr_sel),
252
                 .wad2(wad2), .rd(rd), .we_o(we_o), .reti(reti), .rmw(rmw),
253
     .stb_o(stb_o), .ack_i(ack_i), .istb(istb), .ea(ea && ea_int),
254
     .iack(iack_i), .pc_wait(pc_wait), .nop(nop));
255 46 simont
 
256
 
257
 
258
//
259
// ram read and ram write select
260
oc8051_ram_rd_sel oc8051_ram_rd_sel1 (.sel(ram_rd_sel),  .sp(sp), .ri(ri),
261
                .rn({psw[4:3], op1_n[2:0]}), .imm(op2_dr), .addr_out(rd_addr));
262
 
263
oc8051_ram_wr_sel oc8051_ram_wr_sel1 (.sel(ram_wr_sel_r),  .sp(sp_r),
264
         .rn({psw_r[4:3], op1_r}), .imm(op2_dr_r), .ri(ri_r), .imm2(op3_nr), .addr_out(wr_addr));
265
 
266
 
267
//
268
//alu
269
oc8051_alu oc8051_alu1(.rst(rst), .clk(clk), .op_code(alu_op_r), .src1(src1), .src2(src2), .src3(src3),
270
         .srcCy(alu_cy), .srcAc(psw_r[6]), .des1(des1), .des2(des2), .des1_r(des1_r), .desCy(desCy),
271
         .desAc(desAc), .desOv(desOv), .bit_in(bit_out));
272
 
273
 
274
//
275
//
276
oc8051_immediate_sel oc8051_immediate_sel1(.clk(clk), .rst(rst), .sel(imm_sel), .op1(op1_n), .op2(op2_n),
277
          .op3(op3_n), .pch(pc_hi_r), .pcl(pc[7:0]), .out1(immediate1_r), .out2(immediate2_r));
278
 
279
//
280
//data ram
281
oc8051_ram_top oc8051_ram_top1(.clk(clk), .rst(rst), .rd_addr(rd_addr), .rd_data(ram_data),
282
          .wr_addr(wr_addr), .bit_addr(bit_addr), .wr_data(des1), .wr(wr_r),
283
          .bit_data_in(desCy), .bit_data_out(bit_data));
284
 
285
//
286
//
287
oc8051_acc oc8051_acc1(.clk(clk), .rst(rst), .bit_in(desCy), .data_in(des1),
288
           .data2_in(des2), .wr(wr_r), .wr_bit(bit_addr_r), .wad2(wad2_r),
289
           .wr_addr(wr_addr), .rd_addr(rd_addr[2:0]), .data_out(acc), .bit_out(acc_bit), .p(p),
290
     .stb_o(stb_o), .we_o(we_o), .ack_i(ack_i), .xdata(dat_i));
291
 
292
 
293
//
294
//
295
oc8051_b_register oc8051_b_register (.clk(clk), .rst(rst), .bit_in(desCy), .bit_out(b_bit), .data_in(des1),
296
                    .wr(wr_r), .wr_bit(bit_addr_r), .wr_addr(wr_addr), .rd_addr(rd_addr[2:0]), .data_out(b_reg));
297
 
298
//
299
//
300
oc8051_alu_src1_sel oc8051_alu_src1_sel1(.sel(src_sel1_r), .immediate(immediate1_r),
301
                .acc(acc), .ram(ram_out), .ext(dat_i), .des(src1));
302
oc8051_alu_src2_sel oc8051_alu_src2_sel1(.sel(src_sel2_r), .immediate(immediate2_r),
303
                .acc(acc), .ram(ram_out), .des(src2));
304
oc8051_alu_src3_sel oc8051_alu_src3_sel1(.sel(src_sel3_r), .pc(pc_hi_r),
305
                .dptr(dptr_hi), .des(src3));
306
 
307
//
308
//
309
oc8051_comp oc8051_comp1(.sel(comp_sel), .eq(eq), .b_in(bit_out), .cy(psw_r[7]), .acc(acc), .des(des1_r));
310
 
311
//
312
//stack pointer
313
oc8051_sp oc8051_sp1(.clk(clk), .rst(rst), .ram_rd_sel(ram_rd_sel), .ram_wr_sel(ram_wr_sel),
314
                 .wr_addr(wr_addr), .wr(wr_r), .wr_bit(bit_addr_r), .data_in(des1),
315
                 .data_out(sp), .data_out_r (sp_r));
316
 
317
//
318
//program rom
319 54 simont
oc8051_rom oc8051_rom1(.rst(rst), .clk(clk), .ea_int(ea_int), .addr(iadr_o),
320 46 simont
                .data1(op1_i), .data2(op2_i), .data3(op3_i));
321
 
322
//
323
//data pointer
324
oc8051_dptr oc8051_dptr1(.clk(clk), .rst(rst), .addr(wr_addr), .data_in(des1),
325
                .data2_in(des2), .wr(wr_r), .wr_bit(bit_addr_r), .wd2(ram_wr_sel_r),
326
                .data_hi(dptr_hi), .data_lo(dptr_lo));
327
 
328
//
329
//
330
oc8051_cy_select oc8051_cy_select1(.cy_sel(cy_sel_r), .cy_in(psw_r[7]), .data_in(bit_out),
331
                 .data_out(alu_cy));
332
 
333
//
334
//program status word
335
oc8051_psw oc8051_psw1 (.clk(clk), .rst(rst), .wr_addr(wr_addr), .rd_addr(rd_addr[2:0]), .data_in(des1), .wr(wr_r),
336
                .wr_bit(bit_addr_r), .data_out(psw), .data_out_r(psw_r), .bit_out(psw_bit), .p(p), .cy_in(desCy),
337
                .ac_in(desAc), .ov_in(desOv), .set(psw_set_r));
338
 
339
//
340
//
341 54 simont
oc8051_indi_addr oc8051_indi_addr1 (.clk(clk), .rst(rst), .addr(wr_addr),
342
      .data_in(des1), .wr(wr_r), .wr_bit(bit_addr_r), .data_out(ri),
343
      .sel(op1_n[0]), .bank(psw[4:3]));
344 46 simont
 
345
//
346
//
347 54 simont
oc8051_rom_addr_sel oc8051_rom_addr_sel1(.clk(clk), .rst(rst), .iack_i(iack_i),
348
               .ea(ea && ea_int), .sel(rom_addr_sel), .des1(des1), .des2(des2),
349
               .pc(pc), .out_addr(iadr_o));
350 46 simont
 
351
//
352
//
353
oc8051_ext_addr_sel oc8051_ext_addr_sel1(.clk(clk), .rst(rst), .sel(ext_addr_sel),
354
                 .dptr_hi(dptr_hi), .dptr_lo(dptr_lo), .ri(ri), .addr_out(adr_o), .wr(wr_xaddr));
355
 
356
//
357
//
358
oc8051_ram_sel oc8051_ram_sel1(.addr(rd_addr_r), .bit_in(bit_data), .in_ram(ram_data),
359
                .psw(psw_r), .acc(acc), .dptr_hi(dptr_hi), .ports_in(ports_in), .sp(sp_r),
360
                .b_reg(b_reg), .uart(uart), .int(int_out), .tc(tc_out), .b_bit(b_bit),
361
                .acc_bit(acc_bit), .psw_bit(psw_bit), .int_bit(int_bit), .port_bit(port_bit),
362
                .uart_bit(uart_bit), .bit_out(bit_out), .out_data(ram_out));
363
 
364
//
365
//
366
oc8051_ports oc8051_ports1(.clk(clk), .rst(rst), .bit_in(desCy), .data_in(des1), .wr(wr_r),
367
                 .wr_bit(bit_addr_r), .wr_addr(wr_addr), .rd_addr(rd_addr), .rmw(rmw),
368
                 .data_out(ports_in), .bit_out(port_bit), .p0_out(p0_out), .p1_out(p1_out), .p2_out(p2_out),
369
                 .p3_out(p3_out), .p0_in(p0_in), .p1_in(p1_in), .p2_in(p2_in), .p3_in(p3_in));
370
 
371
//
372
//
373
oc8051_op_select oc8051_op_select1(.clk(clk), .rst(rst), .ea(ea), .ea_int(ea_int), .op1_i(op1_i),
374
                .op2_i(op2_i), .op3_i(op3_i), .op1_x(op1), .op2_x(op2), .op3_x(op3),
375
                .op1_out(op1_n), .op2_out(op2_n), .op2_direct(op2_dr), .op3_out(op3_n),
376 62 simont
                .intr(intr), .int_v(int_src), .rd(rd), .ack(ack), .istb(istb),
377
    .istb_o(istb_o), .iack_i(iack_i), .nop(nop));
378 46 simont
 
379
//
380
// serial interface
381
oc8051_uart oc8051_uatr1 (.clk(clk), .rst(rst), .bit_in(desCy), .rd_addr(rd_addr),
382
                .data_in(des1), .wr(wr_r), .wr_bit(bit_addr_r), .wr_addr(wr_addr),
383
                .data_out(uart), .bit_out(uart_bit), .rxd(rxd), .txd(txd), .intr(int_uart), .t1_ow(tf1));
384
 
385
 
386
oc0851_int oc8051_int1(.clk(clk), .rst(rst), .wr_addr(wr_addr), .rd_addr(rd_addr), .bit_in(desCy), .ack(ack),
387
                .intr(intr), .data_in(des1), .data_out(int_out), .bit_out(int_bit), .wr(wr_r), .wr_bit(bit_addr_r), .tf0(tf0), .tf1(tf1),
388
                .ie0(int0), .ie1(int1), .reti(reti), .int_vec(int_src), .tr0(tr0), .tr1(tr1), .uart(int_uart));
389
 
390
oc8051_tc oc8051_tc1(.clk(clk), .rst(rst), .wr_addr(wr_addr), .rd_addr(rd_addr),
391
                .data_in(des1), .wr(wr_r), .wr_bit(bit_addr_r), .ie0(int0), .ie1(int1), .tr0(tr0),
392
                .tr1(tr1), .t0(t0), .t1(t1), .data_out(tc_out), .tf0(tf0), .tf1(tf1));
393
 
394
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.