OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [tags/] [rel_1/] [sw/] [source/] [uMain.dcu] - Blame information for rev 186

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 61 simont
AQDOHlV-zXp    uMain.pasFlV-r uMain.DFM-dIniFilesc&fTIniFile3Gg	.TIniFilehVgTIniFile.Create#gTIniFile.ReadString#gTIniFile.ReadInteger#gTIniFile.WriteString#gTIniFile.WriteInteger#cdButtonsc&fTSpeedButton\fTBitBtnQg
.TSpeedButtonװ g.TBitBtnj"4hcdExtCtrlsc&fTRadioGroup_jfTPanel02ag.TRadioGroup.g.TPanel	R%gTCustomRadioGroup.SetItemIndex#cdStdCtrlsc&fTEdit+b.fTLabel>fTMemo+Ag.TEdit˃g.TLabel_vg.TMemoacdDialogsc&fTOpenDialog=ŞfTSaveDialog}g.TOpenDialogbg.TSaveDialogXAgTOpenDialog.GetFileName#gShowMessage>f%cdFormsc&fTFormH)g.TForm!gTCustomForm.AlignControls#gTCustomForm.CreateParams#gTCustomForm.CreateWindowHandle#gTCustomForm.CreateWnd#gTCustomForm.DefaultHandler#gTCustomForm.DefineProperties#gTCustomForm.DestroyWindowHandle#gTCustomForm.GetClientRect#gTCustomForm.Loaded#gTCustomForm.Notification#gTCustomForm.PaintWindow#gTCustomForm.ReadState#gTCustomForm.SetParent#gTCustomForm.ValidateRename#gTCustomForm.WndProc#gTCustomForm.Create#gTCustomForm.Destroy#gTCustomForm.SetFocus#gTCustomForm.WantChildKey#gTCustomForm.Close#cdControlsc&gTWinControl.CreateHandle#gTWinControl.DestroyWnd#gTWinControl.GetClientOrigin#gTWinControl.GetDeviceContext#gTWinControl.ShowControl#gTWinControl.Invalidate#gTWinControl.Repaint#gTWinControl.SetBounds#gTWinControl.Update#gTControl.GetDragImages#gTControl.SetDragMode#gTControl.SetName#gTControl.GetText#gTControl.SetText#cdGraphicsc&cdClassesc&fTStrings;gTComponent.WriteState#gTComponent.SafeCallException#gTPersistent.AssignTo#gTPersistent.Assign#fTStringListWg.TStringList09cdSysUtilskf        Exception_g
2 48 simont
.ExceptionЀg UpperCaseWCgStrToIntogIntToStrog      DateToStrT>gDate6g        TimeToStrT>gTime6g
3
FileExistsۛ
4
AnsiStringHDfStringHDfTObject!fInteger`LgTObject.NewInstance#gTObject.FreeInstance#g
@LStrArrayClrQg@HandleFinallyQfLongint`LgTObject.Create#gCopyg      @LStrCopyQgBreakgTObject.Free#g@LStrAddRefQg@LStrClr'@g
@LStrFromCharpg   @LStrCatNQg@LStrCatQg    @LStrCat3Qg@HandleOnExceptionQg@DoneExceptQgExitg@LStrCmpQgTruejQgFalsejQg    @LStrLAsgQgLengthg@LStrLenO:gIncg@RaiseExceptQg@LStrAsgQc*tbytesb**tstrj,*tRom.*.10&.TForm1$!2*TForm1;Sn]4 Form1I]4p .2f6(TForm1.Button1Click#8!Self4!Sender$c(TForm1.makeV#! !Selff4!hFilef #Resultf  str resf  sf  j& i& bytsf& oaddrf( naddrf( ec(TForm1.hexToInt#&!Self4!hexf  Result&c(TForm1.addB#8!Self4!rom&!byt&
5
!numf  int&c(TForm1.addS#y8!Self4!romNf& rf& i& j& numf&c(TForm1.intToHex# !Self4!int&#Result c(TForm1.simul##8!Selff4!hexf !str i&
6
 j& sf c(
7 61 simont
TForm1.syn#1I8!Selff4!hexf !str addrwf& if& cntR&
8 48 simont
 cntB& tmpf  astrf c(TForm1.btnExitClick#8!Self4!Sender$c(TForm1.btnMakeClick#8!Self4!Sender$ str sf c(TForm1.sbInClick#8!Self4!Sender$c(TForm1.sbOutClick#8!Self4!Sender$c(TForm1.inToBits# !Self4!int&#Result c(     TForm1.n2#0&!Self4!n& Result& i&c(TForm1.FormCreate#8!Self4!Sender$ inic(TForm1.FormDestroy#Q8!Self4!Sender$ inic(TForm1.simulIn# 8!Self4!hexf !str if&c(FinalizationQ\! 8c(uMain!8cL@        : M4,bytes*,str,@cL(@.G4F<@v,od1q,rgOut,edtIn,edtOut,Label1,Label2,sbIn,sbOut,btnMake,btnExit,sd1,Panel1
9
!,Memo11-Button1Click)-btnExitClick-btnMakeClick
-    sbInClick!-
10
sbOutClick--
11
FormCreate]-FormDestroym,roms0A-makeV5-hexToInti-intToHex-inToBits9-addBy-addS-simul-simulIn}-syn-n2IcD6@D6LBD6D6D6l
tstr tRom@ 
.1@w
od1rgOutedtInedtOutLabel1Label2sbInsbOutbtnMakebtnExitsd1Panel1Memo1Button1ClickbtnExitClickbtnMakeClick sbInClick
12
sbOutClick
13
FormCreateFormDestroyTForm1     TForm1@;uMainUjjS3Uh]d0d R4tUUM3ZYYdhdE[YY]USVW3ۉ]؉]ԉ]Љ]̉]ȉ]]MUEE3Uh`d0d 3Uhd2d"UƋQXE3EƋRH@E3ۍMԋӋƋ8WEԊPEU؋EPMԋӋƋ8WEԊPEU؋EZЉUMԋӋƋ8WEԊPEU؋EPMԋӋƋ8WEԊPEU؋EZRMԋӋƋ8WEԊPEU؋EZRMԋӋƋ8WEԊPEU؋EZЉUEEEPMЋӋƋ8WEйuԍEPM̋ӋƋ8WE̹uЍEPMȋӋƋ8WEȋMɺ
14
 
15
 
16 61 simont
 
17 48 simont
UEE8u
18
UEEDumUEEPu
LUEE\u+UEEhu
19
E3ZYYdhE[YY]ABCDEFUjSVW؋E3Uhd0d EUU~ƄƄ~ƄƄ~ƄƄHuƄƄEURU~ƄƄ~ƄƄ~ƄƄHuƄƄ3ZYYdhEE_^[Y]USVW3ɉMU3Uhd0d E@EE33EEƀ(tEEƀ tEEƀtEEƀtE3U֍Ƅ@uMUU}00CKEM=3ZYYdhE_^[]SVڋÃwy$zk\M>/ƺ^[Ëƺ^[Ëƺ^[Ëƺ^[Ëƺ^[Ëƺ^[Ë֋^[fedcbaUjjjjjjjSVWىUEE3Uh|d0d ËR@3ҋËQ4ËQ4ËQ4ËQ4ËQ4UEMEUËQ4$EMEUËQ4$EME0UËQ4ËQ43ҋËQ4DËQ43ҋËQ4hEPEEUuhEUËQ43ҋËQ4ËQ4ËQ4ËQ4ËQ44ËQ4HËQ4pËQ4ËQ43ҋËQ4ËQ43ҋËQ4ËQ43ҋËQ4ËQ4ËQ4ËQ40ËQ4PËQ43ҋËQ4EPEȃEEyH@E3E\MׅyEUEyNFMօyEUEyNFuhtMօyEuMցyJBEuEEuEPBEuhEUËQ4GMËQ43ҋËQ4ËQ4ËQ4ËQ4ËQ4ËQ4ËQ43ҋËQ40ËQ4`ËQ4tËQ4ËQ43ҋËQ4ËQ43ZYYdhEEEE_^[]////// created by oc8051 rom maker./// author: Simon Teran (simont@opencores.org)/// source file: 
20
/// date: 
21
/// time: @module oc8051_rom (rst, clk, addr, ea_int, data1, data2, data3);parameter INT_ROM_WID= ;input rst, clk;input [15:0] addr;output ea_int;!output [7:0] data1, data2, data3;reg ea_int;reg [7:0] data1, data2, data3;reg [7:0] buff [65535:0];
22
integer i;wire ea;#assign ea = | addr[15:INT_ROM_WID];initialbegin    for (i=0; i<65536; i=i+1)      buff [i] = 8'h00;#2    buff [16'h_] = 8'hendalways @(posedge clk)  data1 <= #1 buff [addr];  data2 <= #1 buff [addr+1];  data3 <= #1 buff [addr+2];$always @(posedge clk or posedge rst)      if (rst)   ea_int <= #1 1'b1;  else ea_int <= #1 !ea; endmoduleUQjjIuQMSVWUEE3Uh     d0d 3ҋƋQ4
23 61 simont
ƋQ4
24 48 simont
ƋQ4H
25
ƋQ4
26
ƋQ4U܋EM܍E຀
27
UƋQ4$EM؍Eຜ
28
UƋQ4$EM؍Eະ
29
UƋQ4
30
ƋQ43ҋƋQ4EEPEEHE
31
ƋQ4(ƋQ43Eh<U؋EuhLEUƋQ4E}uȺXƋQ43ҋƋQ4lƋQ43ҋƋQ4ƋQ43ҋƋQ4hEPEEUuhLEUƋQ43ҋƋQ4ƋQ4ƋQ40ƋQ4HƋQ4tƋQ4ƋQ4ƋQ43ҋƋQ4ƋQ4ƋQ4E
UEH|6@EEuh@
UEuEEMuՍELUUƋQ43ҋƋQ4T
ƋQ43ҋƋQ4
ƋQ43ҋƋQ4
ƋQ4
ƋQ4UEH@EEhU؋Euh,UԋEuh<UЋEuhTŰEuh`UȋEuhxEUƋQ4EMv3ҋƋQ4ƋQ4ƋQ4ƋQ4hU؋EuhTUԋ]uhEUƋQ4ƋQ4hU؋EuhTUԋuhEUƋQ4ƋQ43ҋƋQ4(ƋQ44ƋQ4\ƋQ4ƋQ4h|U؋]uhEUƋQ4ӋEH%@EEhU؋EuhUԋEuhEUƋQ4hU؋EuhLEUƋQ4h]ӋEPE@ZʙUuhLEUƋQ4hӋEPEZʙUuhLEUƋQ4<ƋQ4EMLƋQ4hƋQ4ƋQ4ƋQ4<ƋQ4ƋQ4ƋQ43ҋƋQ4ƋQ4ƋQ4,ƋQ4LƋQ43ҋƋQ4XƋQ43ҋƋQ43ҋƋQ4EPEȃEUEH|+@E33ۋU0CuGMu33ۋEyH@EEEPUBEEPˋ׋Eu2UEH;uUEJE33UEH;uC3GEMuUEJEEE0EEpE}uE3Euh|UEuhEE}uϋUEH@E3U؋M؍EດUƋQ4hU؋uhEUƋQ4ƋQ4ƋQ43hU؋uhUԋuhuhuU0hDE
32
UƋQ4CuXƋQ43ҋƋQ4GM
3ZYYdh
33
EȺEEEE_^[]////// created by oc8051 rom maker./// author: Simon Teran (simont@opencores.org)/// source file: 
34
/// date: 
35
/// time: Xmodule ROM32X1(O, A0, A1, A2, A3, A4); // synthesis syn_black_box syn_resources="luts=2" output O;input A; endmodule//rom for 8051 processor@module oc8051_rom (rst, clk, addr, ea_int, data1, data2, data3);parameter INT_ROM_WID= input rst, clk;input [15:0] addr;output ea_int;!output [7:0] data1, data2, data3;reg ea_int;reg [4:0] addr01;reg [7:0] data1, data2, data3;wire ea;wire [15:0] addr_rst;5wire [7:0] int_data0, int_data1, int_data2, int_data3
36
, int_data#assign ea = | addr[15:INT_ROM_WID];(assign addr_rst = rst ? 16'h0000 : addr;)  rom0 rom_0 (.a(addr01), .o(int_data0));)  rom1 rom_1 (.a(addr01), .o(int_data1));  rom rom_ (.a(addr_rst[:]), .o(int_data));always @(addr_rst)begin  if (addr_rst[1])    addr01= addr_rst[]+ 5'h1;  else];end//// always read tree bits in rowalways @(posedge clk)  case(addr[:0])    'd: begin      data1 <= #1 int_data      data2 <= #1 int_data      data3 <= #1 int_data end    default: begin      data1 <= #1 8'h00;      data2 <= #1 8'h00;      data3 <= #1 8'h00;       endcase$always @(posedge clk or posedge rst)      if (rst)   ea_int <= #1 1'b1;  else ea_int <= #1 !ea;0,a[]//rom
37
module rom (o,a);input [4:0] a;output [7:0] o;     ROM32X1 u (o[) /* synthesis xc_props="INIT=" */;UjjjSV3UhSd0d UEu
38
@ҋHuUjSV3Uhd0d jEPUjEP,Uj<ЋjEPUl3ZYYdhE^[Y]RomMaker.iniinFilesettingsOutFileSelectUjSV3Uhd0d ؍UEPUEP$P3ZYYdhE^[Y]RomMaker.iniinFilesettingsOutFileSelectUjjjjjSVىUE3Uhd0d ËR@ËQ4ËQ4ËQ4ËQ4UMEUËQ4$EME$UËQ4$EME8UËQ4ËQ4EPEȃEEyN|/FEEPUBEUËQ4ENu3ZYYdhEEEE^[]////// created by oc8051 rom maker./// author: Simon Teran (simont@opencores.org)/// source file: 
39
/// date: 
40
/// time: U3Uh%d0d 3ZYYdh,]Ã-m 

$$
 HRjTZ\`dhxXzbf~JtLNPVv^|ln)&&
&! -"]"m2<"02>$4F))F@5)5Z55
41
(ji<i@i<iBiBilJJ &5i<i>i<iBiBi85
42
V 495B
43
5Ni&i"i
44
.i
45
.i
46
(i
47
(i
48
(i
49
"iҪy$y&iiy.DF&
50

51

52

53

54

55
@60
56

57

58
**,0***8$<
59
4X0.: 
60
22***(F(0
61

62

63 61 simont
0,"0***,0*
64 48 simont
I2  0***I4H * (I2 I*I.0**J$IH0d y&I&I(IBI 
65
".I2
66
4*N=!p
67

"
(


68

69


70

71

72
 5H}"
73


74
B
Ҹ!!N@B@$@!
75
(@$@!
76
!L--F@-99
77
9
78
9
79
9
80
9
81
9
82
9
83
9
84
9
85
9
86
I0]]]
87
]
88
]
89
]
90
]
91
]
92
]&]
93
]
94
"]mmm
95
 m
96
mm
97
m$m
98
mm}4}$}}}}*}
99
}
100
}
101
}&$Z:}%%~
102
0z
103
~
104
,),0pnBJHHBBBB\H.
105
 
106
 
107
 
108
 
109
 
110
 $ 0
111
 
112
 
113
 
114
 
115
 
116
 $jB,
117
...,8^LRdV\\<<J$J \
118
jV\\D

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.