OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [tags/] [rel_12/] [bench/] [verilog/] [oc8051_tb.v] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 simont
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  8051 top level test bench                                   ////
4
////                                                              ////
5
////  This file is part of the 8051 cores project                 ////
6
////  http://www.opencores.org/cores/8051/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////   top level test bench.                                      ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   nothing                                                    ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Simon Teran, simont@opencores.org                     ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// ver: 1
45
//
46
// synopsys translate_off
47
`include "oc8051_timescale.v"
48
// synopsys translate_on
49
 
50
module oc8051_tb;
51
 
52
reg rst, clk, ea;
53
reg [15:0] pc_in;
54
reg [7:0] p0_in, p1_in, p2_in, op1, op2, op3;
55
wire [15:0] ext_addr, rom_addr;
56
wire  write, write_xram, write_uart, txd, rxd, int_uart, int0, int1, t0, t1, bit_out;
57
wire [7:0] data_in, data_out, p0_out, p1_out, p2_out, p3_out, data_out_uart, data_out_xram, p3_in;
58
 
59
///
60
/// buffer for test vectors
61
///
62
//
63
// buffer
64
reg [23:0] buff [255:0];
65
 
66
integer num;
67
 
68
 
69
oc8051_top oc8051_top_1(.rst(rst), .clk(clk), .int0(int0), .int1(int1),
70
         .data_in(data_in), .data_out(data_out),
71
         .ext_addr(ext_addr), .rom_addr(rom_addr), .write(write), .p0_in(p0_in),
72
         .p1_in(p1_in), .p2_in(p2_in), .p3_in(p3_in), .p0_out(p0_out), .p1_out(p1_out),
73
         .p2_out(p2_out), .p3_out(p3_out), .op1(op1), .op2(op2), .op3(op3), .ea(ea),
74
         .rxd(rxd), .txd(txd), .t0(t0), .t1(t1));
75
 
76
 
77
oc8051_xram oc8051_xram1 (.clk(clk), .wr(write_xram), .addr(ext_addr), .data_in(data_out), .data_out(data_out_xram));
78
 
79
oc8051_uart_test oc8051_uart_test1(.clk(clk), .rst(rst), .addr(ext_addr[7:0]), .wr(write_uart),
80
                  .wr_bit(p3_out[0]), .data_in(data_out), .data_out(data_out_uart), .bit_out(bit_out), .rxd(txd),
81 4 markom
                  .txd(rxd), .ow(p3_out[1]), .intr(int_uart));
82 2 simont
 
83
 
84
assign write_xram = p3_out[7] & write;
85
assign write_uart = !p3_out[7] & write;
86
assign data_in = p3_out[7] ? data_out_xram : data_out_uart;
87
assign p3_in = {7'b000000, bit_out, int_uart};
88
assign t0 = p3_out[5];
89
assign t1 = p3_out[6];
90
 
91
assign int0 = p3_out[3];
92
assign int1 = p3_out[4];
93
 
94
 
95
initial begin
96
  clk= 1'b0;
97
  rst= 1'b1;
98
//  int0= 1'b1;
99
//  int1= 1'b1;
100
  pc_in = 16'h0000;
101
  p0_in = 8'h00;
102
  p1_in = 8'h00;
103
  p2_in = 8'h00;
104
  op1 = 8'h00;
105
  op2 = 8'h00;
106
  op3 = 8'h00;
107
  ea =1'b1;
108
#22
109
  rst = 1'b0;
110
#2000000
111
//#500000
112
  $display("time ",$time, "\n faulire: end of time\n \n");
113
  $finish;
114
end
115
 
116
/*initial begin
117
#222
118
  int= 1'b1;
119
  int_v= 8'h50;
120
#20
121
  int= 1'b0;
122
end*/
123
 
124
always clk = #5 ~clk;
125
 
126
 
127
 
128
initial
129
  $readmemh("../src/oc8051_test.vec", buff);
130
 
131
initial num= 0;
132
 
133
always @(p0_out or p1_out or p2_out)
134
begin
135
  if ({p0_out, p1_out, p2_out} != buff[num])
136
  begin
137
    $display("time ",$time, " faulire: mismatch on ports in step %d", num);
138
    $display(" p0_out %h", p0_out, " p1_out %h", p1_out, " p2_out %h", p2_out);
139
    $display(" testvecp %h", buff[num]);
140
    $display(" p_out   %h%h%h", p0_out, p1_out, p2_out);
141
#22
142
    $finish;
143
  end
144
  else begin
145
    $display("time ",$time, " step %d", num, ": pass");
146
    num =  num+1;
147
    if (buff[num]===24'hxxxxxx)
148
    begin
149
      $display("");
150
      $display(" Done!");
151
      $finish;
152
    end
153
  end
154
end
155
 
156
 
157
initial $dumpvars;
158
 
159
 
160
//initial $monitor("time ",$time," acc %h", data_out, " dptr %h", ext_addr, " write ", write, " p0_out %h", p0_out, " p1_out %h", p1_out);
161
 
162
//initial $monitor("time ",$time," write ", write, " p0_out ", p0_out, " p1_out ", p1_out, " p2_out ", p2_out, " p3_out ", p3_out);
163
 
164
//initial $monitor("time ",$time," write ", write, " p0_out %h", p0_out, " p1_out %h", p1_out, " p2_out %h", p2_out, " p3_out %h", p3_out);
165
 
166
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.