OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [tags/] [rel_12/] [rtl/] [verilog/] [oc8051_ram_top.v] - Blame information for rev 105

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 82 simont
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  8051 data ram                                               ////
4
////                                                              ////
5
////  This file is part of the 8051 cores project                 ////
6
////  http://www.opencores.org/cores/8051/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////   data ram                                                   ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   nothing                                                    ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Simon Teran, simont@opencores.org                     ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 105 simont
// Revision 1.7  2003/04/02 11:26:21  simont
48
// updating...
49
//
50 95 simont
// Revision 1.6  2003/01/26 14:19:22  rherveille
51
// Replaced oc8051_ram by generic_dpram.
52
//
53 89 rherveille
// Revision 1.5  2003/01/13 14:14:41  simont
54
// replace some modules
55
//
56 82 simont
// Revision 1.4  2002/09/30 17:33:59  simont
57
// prepared header
58
//
59
//
60
 
61
// synopsys translate_off
62
`include "oc8051_timescale.v"
63
// synopsys translate_on
64
 
65
`include "oc8051_defines.v"
66
 
67
 
68
module oc8051_ram_top (clk, rst, rd_addr, rd_data, wr_addr, bit_addr, wr_data, wr, bit_data_in, bit_data_out);
69 89 rherveille
 
70
// on-chip ram-size (2**ram_aw bytes)
71
parameter ram_aw = 8; // default 256 bytes
72
 
73
 
74 82 simont
//
75
// clk          (in)  clock
76
// rd_addr      (in)  read addres [oc8051_ram_rd_sel.out]
77
// rd_data      (out) read data [oc8051_ram_sel.in_ram]
78
// wr_addr      (in)  write addres [oc8051_ram_wr_sel.out]
79
// bit_addr     (in)  bit addresable instruction [oc8051_decoder.bit_addr -r]
80
// wr_data      (in)  write data [oc8051_alu.des1]
81
// wr           (in)  write [oc8051_decoder.wr -r]
82
// bit_data_in  (in)  bit data input [oc8051_alu.desCy]
83
// bit_data_out (out)  bit data output [oc8051_ram_sel.bit_in]
84
//
85
 
86
input clk, wr, bit_addr, bit_data_in, rst;
87
input [7:0] wr_data;
88
input [7:0] rd_addr, wr_addr;
89
output bit_data_out;
90
output [7:0] rd_data;
91
 
92
 
93
// rd_addr_m    read address modified
94
// wr_addr_m    write address modified
95
// wr_data_m    write data modified
96
reg [7:0] wr_data_m;
97
reg [7:0] rd_addr_m, wr_addr_m;
98
 
99
// bit_addr_r   bit addresable instruction (registerd)
100
reg bit_addr_r;
101
reg [2:0] bit_select;
102
 
103
assign bit_data_out = rd_data[bit_select];
104
 
105
 
106 89 rherveille
generic_dpram #(ram_aw, 8) oc8051_ram1(
107
        .rclk  ( clk       ),
108
        .rrst  ( rst       ),
109
        .rce   ( 1'b1      ),
110
        .oe    ( 1'b1      ),
111
        .raddr ( rd_addr_m ),
112
        .do    ( rd_data   ),
113 82 simont
 
114 89 rherveille
        .wclk  ( clk       ),
115
        .wrst  ( rst       ),
116
        .wce   ( 1'b1      ),
117
        .we    ( wr        ),
118
        .waddr ( wr_addr_m ),
119
        .di    ( wr_data_m )
120
);
121
 
122 105 simont
 
123 82 simont
always @(posedge clk or posedge rst)
124
  if (rst) begin
125
    bit_addr_r <= #1 1'b0;
126
    bit_select <= #1 3'b0;
127
  end else begin
128
    bit_addr_r <= #1 bit_addr;
129
    bit_select <= #1 rd_addr[2:0];
130
  end
131
 
132 89 rherveille
 
133 82 simont
always @(rd_addr or bit_addr)
134 89 rherveille
  casex ( {bit_addr, rd_addr[7]} ) // synopsys full_case parallel_case
135
      2'b0?: rd_addr_m = rd_addr;
136
      2'b10: rd_addr_m = {4'b0010, rd_addr[6:3]};
137
      2'b11: rd_addr_m = {1'b1, rd_addr[6:3], 3'b000};
138 82 simont
  endcase
139
 
140 89 rherveille
 
141 82 simont
always @(wr_addr or bit_addr_r)
142 89 rherveille
  casex ( {bit_addr_r, wr_addr[7]} ) // synopsys full_case parallel_case
143
      2'b0?: wr_addr_m = wr_addr;
144
      2'b10: wr_addr_m = {8'h00, 4'b0010, wr_addr[6:3]};
145
      2'b11: wr_addr_m = {8'h00, 1'b1, wr_addr[6:3], 3'b000};
146 82 simont
  endcase
147
 
148 89 rherveille
 
149 82 simont
always @(rd_data or bit_select or bit_data_in or wr_data or bit_addr_r)
150 89 rherveille
  casex ( {bit_addr_r, bit_select} ) // synopsys full_case parallel_case
151
      4'b0_???: wr_data_m = wr_data;
152
      4'b1_000: wr_data_m = {rd_data[7:1], bit_data_in};
153
      4'b1_001: wr_data_m = {rd_data[7:2], bit_data_in, rd_data[0]};
154
      4'b1_010: wr_data_m = {rd_data[7:3], bit_data_in, rd_data[1:0]};
155
      4'b1_011: wr_data_m = {rd_data[7:4], bit_data_in, rd_data[2:0]};
156
      4'b1_100: wr_data_m = {rd_data[7:5], bit_data_in, rd_data[3:0]};
157
      4'b1_101: wr_data_m = {rd_data[7:6], bit_data_in, rd_data[4:0]};
158
      4'b1_110: wr_data_m = {rd_data[7], bit_data_in, rd_data[5:0]};
159
      4'b1_111: wr_data_m = {bit_data_in, rd_data[6:0]};
160
  endcase
161 82 simont
 
162
 
163
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.