OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [tags/] [rel_12/] [rtl/] [verilog/] [oc8051_ram_top.v] - Blame information for rev 82

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 82 simont
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  8051 data ram                                               ////
4
////                                                              ////
5
////  This file is part of the 8051 cores project                 ////
6
////  http://www.opencores.org/cores/8051/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////   data ram                                                   ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   nothing                                                    ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Simon Teran, simont@opencores.org                     ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
// Revision 1.4  2002/09/30 17:33:59  simont
48
// prepared header
49
//
50
//
51
 
52
// synopsys translate_off
53
`include "oc8051_timescale.v"
54
// synopsys translate_on
55
 
56
`include "oc8051_defines.v"
57
 
58
 
59
module oc8051_ram_top (clk, rst, rd_addr, rd_data, wr_addr, bit_addr, wr_data, wr, bit_data_in, bit_data_out);
60
//
61
// clk          (in)  clock
62
// rd_addr      (in)  read addres [oc8051_ram_rd_sel.out]
63
// rd_data      (out) read data [oc8051_ram_sel.in_ram]
64
// wr_addr      (in)  write addres [oc8051_ram_wr_sel.out]
65
// bit_addr     (in)  bit addresable instruction [oc8051_decoder.bit_addr -r]
66
// wr_data      (in)  write data [oc8051_alu.des1]
67
// wr           (in)  write [oc8051_decoder.wr -r]
68
// bit_data_in  (in)  bit data input [oc8051_alu.desCy]
69
// bit_data_out (out)  bit data output [oc8051_ram_sel.bit_in]
70
//
71
 
72
input clk, wr, bit_addr, bit_data_in, rst;
73
input [7:0] wr_data;
74
input [7:0] rd_addr, wr_addr;
75
output bit_data_out;
76
output [7:0] rd_data;
77
 
78
 
79
// rd_addr_m    read address modified
80
// wr_addr_m    write address modified
81
// wr_data_m    write data modified
82
reg [7:0] wr_data_m;
83
reg [7:0] rd_addr_m, wr_addr_m;
84
 
85
// bit_addr_r   bit addresable instruction (registerd)
86
reg bit_addr_r;
87
reg [2:0] bit_select;
88
 
89
assign bit_data_out = rd_data[bit_select];
90
 
91
 
92
 
93
oc8051_ram oc8051_ram1(.clk(clk), .rst(rst), .rd_addr(rd_addr_m), .rd_data(rd_data), .wr_addr(wr_addr_m),
94
         .wr_data(wr_data_m), .wr(wr));
95
 
96
 
97
always @(posedge clk or posedge rst)
98
  if (rst) begin
99
    bit_addr_r <= #1 1'b0;
100
    bit_select <= #1 3'b0;
101
  end else begin
102
    bit_addr_r <= #1 bit_addr;
103
    bit_select <= #1 rd_addr[2:0];
104
  end
105
 
106
always @(rd_addr or bit_addr)
107
begin
108
  case ({bit_addr, rd_addr[7]})
109
    2'b10: rd_addr_m = {4'b0010, rd_addr[6:3]};
110
    2'b11: rd_addr_m = {1'b1, rd_addr[6:3], 3'b000};
111
    default: rd_addr_m = rd_addr;
112
  endcase
113
end
114
 
115
always @(wr_addr or bit_addr_r)
116
begin
117
  casex ({bit_addr_r, wr_addr[7]})
118
    2'b10: wr_addr_m = {8'h00, 4'b0010, wr_addr[6:3]};
119
    2'b11: wr_addr_m = {8'h00, 1'b1, wr_addr[6:3], 3'b000};
120
    default: wr_addr_m = wr_addr;
121
  endcase
122
end
123
 
124
always @(rd_data or bit_select or bit_data_in or wr_data or bit_addr_r)
125
begin
126
  if (bit_addr_r) begin
127
    case (bit_select)
128
      3'b000: wr_data_m = {rd_data[7:1], bit_data_in};
129
      3'b001: wr_data_m = {rd_data[7:2], bit_data_in, rd_data[0]};
130
      3'b010: wr_data_m = {rd_data[7:3], bit_data_in, rd_data[1:0]};
131
      3'b011: wr_data_m = {rd_data[7:4], bit_data_in, rd_data[2:0]};
132
      3'b100: wr_data_m = {rd_data[7:5], bit_data_in, rd_data[3:0]};
133
      3'b101: wr_data_m = {rd_data[7:6], bit_data_in, rd_data[4:0]};
134
      3'b110: wr_data_m = {rd_data[7], bit_data_in, rd_data[5:0]};
135
      default: wr_data_m = {bit_data_in, rd_data[6:0]};
136
    endcase
137
  end else
138
    wr_data_m = wr_data;
139
end
140
 
141
 
142
 
143
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.