OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [tags/] [rel_12/] [rtl/] [verilog/] [oc8051_sfr.v] - Blame information for rev 82

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 75 simont
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  8051 cores sfr top level module                             ////
4
////                                                              ////
5
////  This file is part of the 8051 cores project                 ////
6
////  http://www.opencores.org/cores/8051/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////   special function registers for oc8051                      ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////    nothing                                                   ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Simon Teran, simont@opencores.org                     ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 82 simont
// Revision 1.1  2002/11/05 17:22:27  simont
48
// initial import
49 75 simont
//
50 82 simont
//
51 75 simont
 
52
// synopsys translate_off
53
`include "oc8051_timescale.v"
54
// synopsys translate_on
55
 
56
 
57 82 simont
module oc8051_sfr (rst, clk, adr0, adr1, dat0, dat1, dat2, we, bit_in, bit_out, wr_bit,
58
       wr_sfr, acc, ram_wr_sel, ram_rd_sel, sp, sp_w, bank_sel, desAc, desOv, psw_set, srcAc, cy, rmw,
59
       p0_out, p1_out, p2_out, p3_out, p0_in, p1_in, p2_in, p3_in, rxd, txd, int_ack, intr, int0,
60
       int1, reti, int_src, t0, t1, dptr_hi, dptr_lo, t2, t2ex);
61 75 simont
//
62
// rst           (in)  reset - pin
63
// clk           (in)  clock - pin
64
// adr0, adr1    (in)  address input
65
// dat0          (out) data output
66
// dat1          (in)  data input
67
// dat2
68
// we            (in)  write enable
69
// bit_in
70
// bit_out
71
// wr_bit
72
// ram_rd_sel
73
// ram_wr_sel
74 82 simont
// wr_sfr
75 75 simont
//////////
76
//
77
//  acc:
78
// acc
79
//////////
80
//
81
//  sp:
82
// sp
83
//////////
84
//
85
//  psw:
86
// bank_sel
87
// desAc
88
// desOv
89
// psw_set
90
// srcAc
91
// cy
92
//////////
93
//
94
//  ports:
95
// rmw
96
// px_out
97
// px_in
98
//////////
99
//
100
//  serial interface:
101
// rxd
102
// txd
103
//////////
104
//
105
//  interrupt interface:
106
// int_ack
107
// intr
108
// int0, int1
109
// reti
110
// int_src
111
//////////
112
//
113
//  timers/counters:
114
// t0
115
// t1
116 82 simont
// t2
117
// t2ex
118 75 simont
//
119
//////////
120
//
121
//  dptr:
122
// dptr_hi
123
// dptr_lo
124 82 simont
//
125
//////////
126
//
127 75 simont
 
128
 
129
 
130
 
131 82 simont
input rst, clk, we, bit_in, desAc, desOv, rmw, rxd, t2, t2ex;
132
input int_ack, int0, int1, reti, wr_bit, t0, t1;
133
input [1:0] psw_set;
134
input [2:0] ram_rd_sel, ram_wr_sel, wr_sfr;
135
input [7:0] adr0, adr1, dat1, dat2, p0_in, p1_in, p2_in, p3_in;
136 75 simont
 
137 82 simont
output bit_out, txd, intr, srcAc, cy;
138
output [1:0] bank_sel;
139
output [7:0] dat0, p0_out, p1_out, p2_out, p3_out, int_src, dptr_hi, dptr_lo, acc;
140
output [7:0] sp, sp_w;
141 75 simont
 
142 82 simont
 
143 75 simont
reg bit_out;
144 82 simont
reg [7:0] dat0, adr0_r;
145 75 simont
 
146 82 simont
reg wr_bit_r;
147 75 simont
reg [2:0] ram_wr_sel_r;
148 82 simont
wire acc_bit, b_bit, psw_bit, port_bit, uart_bit, int_bit, tc2_bit, pca_bit;
149 75 simont
wire p, int_uart, tf0, tf1, tr0, tr1;
150 82 simont
wire dps, rclk, tclk, brate2, tc2_int;
151
wire [7:0] b_reg, psw, ports, uart, int_out, tc_out, tc2, sp_out;
152 75 simont
 
153 82 simont
 
154 75 simont
assign cy = psw[7];
155
assign srcAc = psw [6];
156
 
157 82 simont
 
158
 
159 75 simont
//
160
// accumulator
161
// ACC
162
oc8051_acc oc8051_acc1(.clk(clk), .rst(rst), .bit_in(bit_in), .data_in(dat1),
163 82 simont
           .data2_in(dat2), .wr(we), .wr_bit(wr_bit_r), .wr_sfr(wr_sfr),
164
           .wr_addr(adr1), .rd_addr(adr0[2:0]), .data_out(acc), .bit_out(acc_bit), .p(p));
165 75 simont
 
166
 
167
//
168
// b register
169
// B
170
oc8051_b_register oc8051_b_register (.clk(clk), .rst(rst), .bit_in(bit_in), .bit_out(b_bit),
171 82 simont
           .data_in(dat1), .wr(we), .wr_bit(wr_bit_r), .wr_addr(adr1), .rd_addr(adr0[2:0]),
172
           .data_out(b_reg), .wr_sfr(wr_sfr));
173 75 simont
 
174
//
175
//stack pointer
176
// SP
177
oc8051_sp oc8051_sp1(.clk(clk), .rst(rst), .ram_rd_sel(ram_rd_sel), .ram_wr_sel(ram_wr_sel),
178 82 simont
                 .wr_addr(adr1), .wr(we), .wr_bit(wr_bit_r), .data_in(dat1),
179
                 .data_out(sp_out), .sp_out(sp), .sp_w(sp_w));
180 75 simont
 
181
//
182
//data pointer
183
// DPTR, DPH, DPL
184
oc8051_dptr oc8051_dptr1(.clk(clk), .rst(rst), .addr(adr1), .data_in(dat1),
185 82 simont
                .data2_in(dat2), .wr(we), .wr_bit(wr_bit_r),
186
                .data_hi(dptr_hi), .data_lo(dptr_lo), .wr_sfr(wr_sfr));
187 75 simont
 
188 82 simont
 
189 75 simont
//
190
//program status word
191
// PSW
192
oc8051_psw oc8051_psw1 (.clk(clk), .rst(rst), .wr_addr(adr1), .rd_addr(adr0[2:0]), .data_in(dat1),
193 82 simont
                .wr(we), .wr_bit(wr_bit_r), .data_out(psw), .bit_out(psw_bit), .p(p), .cy_in(bit_in),
194 75 simont
                .ac_in(desAc), .ov_in(desOv), .set(psw_set), .bank_sel(bank_sel));
195
 
196
//
197
// ports
198
// P0, P1, P2, P3
199
oc8051_ports oc8051_ports1(.clk(clk), .rst(rst), .bit_in(bit_in), .data_in(dat1), .wr(we),
200 82 simont
                 .wr_bit(wr_bit_r), .wr_addr(adr1), .rd_addr(adr0), .rmw(rmw),
201 75 simont
                 .data_out(ports), .bit_out(port_bit), .p0_out(p0_out), .p1_out(p1_out),
202
                 .p2_out(p2_out), .p3_out(p3_out), .p0_in(p0_in), .p1_in(p1_in), .p2_in(p2_in),
203
                 .p3_in(p3_in));
204
 
205
//
206
// serial interface
207
// SCON, SBUF
208
oc8051_uart oc8051_uatr1 (.clk(clk), .rst(rst), .bit_in(bit_in), .rd_addr(adr0),
209 82 simont
                .data_in(dat1), .wr(we), .wr_bit(wr_bit_r), .wr_addr(adr1),
210
                .data_out(uart), .bit_out(uart_bit), .rxd(rxd), .txd(txd), .intr(uart_int),
211 75 simont
                .t1_ow(tf1));
212
 
213
//
214
// interrupt control
215
// IP, IE, TCON
216
oc0851_int oc8051_int1 (.clk(clk), .rst(rst), .wr_addr(adr1), .rd_addr(adr0), .bit_in(bit_in),
217 82 simont
                .ack(int_ack), .data_in(dat1), .data_out(int_out), .bit_out(int_bit),
218
                .wr(we), .wr_bit(wr_bit_r),
219
                .tf0(tf0), .tf1(tf1), .t2_int(tc2_int), .tr0(tr0), .tr1(tr1),
220
                .ie0(int0), .ie1(int1),
221
                .uart_int(uart_int),
222
                .reti(reti), .intr(intr), .int_vec(int_src));
223 75 simont
 
224 82 simont
 
225 75 simont
//
226
// timer/counter control
227
// TH0, TH1, TL0, TH1, TMOD
228
oc8051_tc oc8051_tc1(.clk(clk), .rst(rst), .wr_addr(adr1), .rd_addr(adr0),
229 82 simont
                .data_in(dat1), .wr(we), .wr_bit(wr_bit_r), .ie0(int0), .ie1(int1), .tr0(tr0),
230 75 simont
                .tr1(tr1), .t0(t0), .t1(t1), .data_out(tc_out), .tf0(tf0), .tf1(tf1));
231
 
232 82 simont
//
233
// timer/counter 2
234
// TH2, TH2, RCAPL2L, RCAPL2H, T2CON, T2MOD
235
oc8051_tc2 oc8051_tc21(.clk(clk), .rst(rst), .wr_addr(adr1), .rd_addr(adr0_r), .data_in(dat1), .wr(we),
236
           .wr_bit(wr_bit_r), .bit_in(bit_in), .t2(t2), .t2ex(t2ex), .data_out(tc2), .bit_out(tc2_bit),
237
           .rclk(rclk), .tclk(tclk), .brate2(brate2), .tc2_int(tc2_int));
238 75 simont
 
239 82 simont
 
240
 
241 75 simont
always @(posedge clk or posedge rst)
242
  if (rst) begin
243
    adr0_r <= #1 8'h00;
244
    ram_wr_sel_r <= #1 3'b000;
245 82 simont
    wr_bit_r <= #1 1'b0;
246 75 simont
  end else begin
247
    adr0_r <= #1 adr0;
248
    ram_wr_sel_r <= #1 ram_wr_sel;
249 82 simont
    wr_bit_r <= #1 wr_bit;
250 75 simont
  end
251
 
252
//
253
//set output in case of address (byte)
254 82 simont
always @(adr0_r or psw or acc or dptr_hi or ports or sp_out or b_reg or uart or
255
         tc_out or tc2 or int_out or dptr_lo)
256 75 simont
begin
257 82 simont
    case (adr0_r)
258
      `OC8051_SFR_ACC: dat0 = acc;
259
      `OC8051_SFR_PSW: dat0 = psw;
260
      `OC8051_SFR_P0: dat0 = ports;
261
      `OC8051_SFR_P1: dat0 = ports;
262
      `OC8051_SFR_P2: dat0 = ports;
263
      `OC8051_SFR_P3: dat0 = ports;
264
      `OC8051_SFR_SP: dat0 = sp_out;
265
      `OC8051_SFR_B: dat0 = b_reg;
266
      `OC8051_SFR_DPTR_HI: dat0 = dptr_hi;
267
      `OC8051_SFR_DPTR_LO: dat0 = dptr_lo;
268
      `OC8051_SFR_SCON: dat0 = uart;
269
      `OC8051_SFR_SBUF: dat0 = uart;
270
      `OC8051_SFR_PCON: dat0 = uart;
271
      `OC8051_SFR_TH0: dat0 = tc_out;
272
      `OC8051_SFR_TH1: dat0 = tc_out;
273
      `OC8051_SFR_TL0: dat0 = tc_out;
274
      `OC8051_SFR_TL1: dat0 = tc_out;
275
      `OC8051_SFR_TMOD: dat0 = tc_out;
276
      `OC8051_SFR_IP: dat0 = int_out;
277
      `OC8051_SFR_IE: dat0 = int_out;
278
      `OC8051_SFR_TCON: dat0 = int_out;
279
      `OC8051_SFR_RCAP2H: dat0 = tc2;
280
      `OC8051_SFR_RCAP2L: dat0 = tc2;
281
      `OC8051_SFR_TH2:    dat0 = tc2;
282
      `OC8051_SFR_TL2:    dat0 = tc2;
283
      `OC8051_SFR_T2MOD:  dat0 = tc2;
284
      `OC8051_SFR_T2CON:  dat0 = tc2;
285
 
286
      default: dat0 = 8'h00;
287
    endcase
288 75 simont
end
289
 
290
 
291
//
292
//set output in case of address (bit)
293 82 simont
always @(adr0_r or b_bit or acc_bit or psw_bit or int_bit or port_bit or uart_bit or tc2_bit)
294 75 simont
begin
295 82 simont
    case (adr0_r[7:3])
296
      `OC8051_SFR_B_ACC: bit_out = acc_bit;
297
      `OC8051_SFR_B_PSW: bit_out = psw_bit;
298
      `OC8051_SFR_B_P0: bit_out = port_bit;
299
      `OC8051_SFR_B_P1: bit_out = port_bit;
300
      `OC8051_SFR_B_P2: bit_out = port_bit;
301
      `OC8051_SFR_B_P3: bit_out = port_bit;
302
      `OC8051_SFR_B_B: bit_out = b_bit;
303
      `OC8051_SFR_B_IP: bit_out = int_bit;
304
      `OC8051_SFR_B_IE: bit_out = int_bit;
305
      `OC8051_SFR_B_TCON: bit_out = int_bit;
306
      `OC8051_SFR_B_SCON: bit_out = uart_bit;
307
      `OC8051_SFR_B_T2CON: bit_out = tc2_bit;
308
      default: bit_out = 1'b0;
309
    endcase
310 75 simont
end
311
 
312
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.