OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [tags/] [rel_12/] [rtl/] [verilog/] [oc8051_sp.v] - Blame information for rev 186

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 76 simont
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  8051 stack pointer                                          ////
4
////                                                              ////
5
////  This file is part of the 8051 cores project                 ////
6
////  http://www.opencores.org/cores/8051/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////   8051 special function register: stack pointer.             ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   nothing                                                    ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Simon Teran, simont@opencores.org                     ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 120 simont
// Revision 1.5  2003/01/13 14:14:41  simont
48
// replace some modules
49
//
50 82 simont
// Revision 1.4  2002/11/05 17:23:54  simont
51
// add module oc8051_sfr, 256 bytes internal ram
52
//
53 76 simont
// Revision 1.3  2002/09/30 17:33:59  simont
54
// prepared header
55
//
56
//
57
 
58
// synopsys translate_off
59
`include "oc8051_timescale.v"
60
// synopsys translate_on
61
 
62
`include "oc8051_defines.v"
63
 
64
 
65
 
66 120 simont
module oc8051_sp (clk, rst, ram_rd_sel, ram_wr_sel, wr_addr, wr, wr_bit, data_in, sp_out, sp_w);
67 76 simont
 
68
 
69
input clk, rst, wr, wr_bit;
70 82 simont
input [2:0] ram_rd_sel, ram_wr_sel;
71 76 simont
input [7:0] data_in, wr_addr;
72 82 simont
output [7:0] sp_out, sp_w;
73 76 simont
 
74 82 simont
reg [7:0] sp_out, sp_w;
75
reg pop;
76
wire write;
77
wire [7:0] sp_t;
78 76 simont
 
79 82 simont
reg [7:0] sp;
80 76 simont
 
81
 
82 82 simont
assign write = ((wr_addr==`OC8051_SFR_SP) & (wr) & !(wr_bit));
83
 
84
assign sp_t= write ? data_in : sp;
85
 
86
 
87 76 simont
always @(posedge clk or posedge rst)
88
begin
89
  if (rst)
90 82 simont
    sp <= #1 `OC8051_RST_SP;
91
  else if (write)
92
    sp <= #1 data_in;
93 76 simont
  else
94 82 simont
    sp <= #1 sp_out;
95 76 simont
end
96
 
97 82 simont
 
98
always @(sp or ram_wr_sel)
99 76 simont
begin
100
//
101
// push
102 82 simont
  if (ram_wr_sel==`OC8051_RWS_SP) sp_w = sp + 8'h01;
103
  else sp_w = sp;
104 76 simont
 
105
end
106
 
107
 
108 82 simont
always @(sp_t or ram_wr_sel or pop or write)
109
begin
110
//
111
// push
112
  if (write) sp_out = sp_t;
113
  else if (ram_wr_sel==`OC8051_RWS_SP) sp_out = sp_t + 8'h01;
114
  else sp_out = sp_t - {7'b0, pop};
115
 
116
end
117
 
118
 
119 76 simont
always @(posedge clk or posedge rst)
120
begin
121
  if (rst)
122
    pop <= #1 1'b0;
123
  else if (ram_rd_sel==`OC8051_RRS_SP) pop <= #1 1'b1;
124
  else pop <= #1 1'b0;
125
end
126
 
127
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.