OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [tags/] [rel_12/] [rtl/] [verilog/] [oc8051_sp.v] - Blame information for rev 76

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 76 simont
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  8051 stack pointer                                          ////
4
////                                                              ////
5
////  This file is part of the 8051 cores project                 ////
6
////  http://www.opencores.org/cores/8051/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////   8051 special function register: stack pointer.             ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   nothing                                                    ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Simon Teran, simont@opencores.org                     ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
// Revision 1.3  2002/09/30 17:33:59  simont
48
// prepared header
49
//
50
//
51
 
52
// synopsys translate_off
53
`include "oc8051_timescale.v"
54
// synopsys translate_on
55
 
56
`include "oc8051_defines.v"
57
 
58
 
59
 
60
module oc8051_sp (clk, rst, ram_rd_sel, ram_wr_sel, wr_addr, wr, wr_bit, data_in, data_out);
61
//
62
// clk          (in)  clock
63
// rst          (in)  reset
64
// ram_rd_sel   (in)  ram read select, used tu calculate next value [oc8051_decoder.ram_rd_sel]
65
// ram_wr_sel   (in)  ram write select, used tu calculate next value [oc8051_decoder.ram_wr_sel -r]
66
// wr           (in)  write [oc8051_decoder.wr -r]
67
// wr_bit       (in)  write bit addresable [oc8051_decoder.bit_addr -r]
68
// data_in      (in)  data input [oc8051_alu.des1]
69
// wr_addr      (in)  write address (if is addres of sp and white high must be written to sp)  [oc8051_ram_wr_sel.out]
70
// data_out     (out) data output
71
//
72
 
73
 
74
input clk, rst, wr, wr_bit;
75
input [1:0] ram_rd_sel;
76
input [2:0] ram_wr_sel;
77
input [7:0] data_in, wr_addr;
78
output [7:0] data_out;
79
 
80
reg [7:0] data_out;
81
reg [7:0] temp;
82
reg pop, write;
83
wire [7:0] temp1;
84
 
85
assign temp1 = write ? data_in : temp;
86
 
87
always @(wr_addr or wr or wr_bit)
88
begin
89
  if ((wr_addr==`OC8051_SFR_SP) & (wr) & !(wr_bit))
90
    write = 1'b1;
91
  else
92
    write = 1'b0;
93
end
94
 
95
always @(posedge clk or posedge rst)
96
begin
97
  if (rst)
98
    temp <= #1 `OC8051_RST_SP;
99
  else
100
    temp <= #1 data_out;
101
end
102
 
103
always @(temp1 or ram_wr_sel or pop or write)
104
begin
105
//
106
// push
107
  if (ram_wr_sel==`OC8051_RWS_SP) data_out = temp1+8'h01;
108
  else if (write) data_out = temp1;
109
  else data_out = temp1 - {7'b0, pop};
110
 
111
end
112
 
113
 
114
always @(posedge clk or posedge rst)
115
begin
116
  if (rst)
117
    pop <= #1 1'b0;
118
  else if (ram_rd_sel==`OC8051_RRS_SP) pop <= #1 1'b1;
119
  else pop <= #1 1'b0;
120
end
121
 
122
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.