OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [tags/] [rel_12/] [rtl/] [verilog/] [oc8051_top.v] - Blame information for rev 54

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 simont
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  8051 cores top level module                                 ////
4
////                                                              ////
5
////  This file is part of the 8051 cores project                 ////
6
////  http://www.opencores.org/cores/8051/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  8051 definitions.                                           ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////    nothing                                                   ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Simon Teran, simont@opencores.org                     ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46 54 simont
// $Log: not supported by cvs2svn $
47
// Revision 1.13  2002/09/30 17:33:59  simont
48
// prepared header
49 46 simont
//
50 54 simont
//
51 46 simont
 
52
// synopsys translate_off
53
`include "oc8051_timescale.v"
54
// synopsys translate_on
55
 
56
 
57 54 simont
module oc8051_top (rst, clk, int0, int1, ea, iadr_o, idat_i,istb_o, iack_i, dat_i,
58
                icyc_o, dat_o, adr_o, we_o, ack_i, stb_o, cyc_o, p0_in, p1_in, p2_in, p3_in, p0_out,
59 46 simont
                p1_out, p2_out, p3_out, rxd, txd, t0, t1);
60
//
61
// rst           (in)  reset - pin
62
// clk           (in)  clock - pin
63 54 simont
// iadr_o        (out) program rom addres (pin + internal)
64 46 simont
// int0          (in)  external interrupt 0
65
// int1          (in)  external interrupt 1
66
// dat_i         (in)  exteranal ram input
67
// dat_o         (out) exteranal ram output
68
// adr_o         (out) external address
69
// we_o          (out) write to external ram
70
// stb_o
71
// ack_i
72 54 simont
// idat_i        (in)  data from external program rom
73
// istb_o        (out) strobe to program rom
74
// iack_i        (in)  acknowlage from external rom
75
// icyc_o        (out)
76 46 simont
// p0_in, p1_in, p2_in, p3_in           (in)  port inputs
77
// p0_out, p1_out, p2_out, p3_out       (out) port outputs
78
// rxd           (in) receive
79
// txd           (out) transmit
80
// t0, t1        (in)  t/c external inputs
81
//
82
//
83
 
84
 
85
 
86 54 simont
input rst, clk, int0, int1, ea, rxd, t0, t1, ack_i, iack_i;
87
input [7:0] dat_i, p0_in, p1_in, p2_in, p3_in;
88
input [31:0] idat_i;
89 46 simont
 
90 54 simont
output we_o, txd, stb_o, cyc_o, istb_o, icyc_o;
91 46 simont
output [7:0] dat_o, p0_out, p1_out, p2_out, p3_out;
92
//output [15:0] rom_addr, ext_addr;
93 54 simont
output [15:0] adr_o, iadr_o;
94 46 simont
 
95
wire [7:0] op1_i, op2_i, op3_i, dptr_hi, dptr_lo, ri, data_out;
96 54 simont
wire [7:0] op1, op2, op3;
97 46 simont
wire [7:0] acc, b_reg, p0_out, p1_out, p2_out, p3_out, uart, tc_out, int_out;
98
 
99
wire [15:0] pc;
100
 
101
//
102
// data output is always from accumulator
103
assign dat_o = acc;
104
 
105
assign cyc_o = stb_o;
106 54 simont
assign icyc_o = istb_o;
107 46 simont
 
108 54 simont
 
109
assign op1 = idat_i[31:24];
110
assign op2 = idat_i[23:16];
111
assign op3 = idat_i[15:8];
112
 
113 46 simont
//
114
// ram_rd_sel    ram read (internal)
115
// ram_wr_sel    ram write (internal)
116
// src_sel1, src_sel2    from decoder to register
117
// imm_sel       immediate select
118
wire [1:0] ram_rd_sel, src_sel1, src_sel2;
119
wire [2:0] ram_wr_sel, ram_wr_sel_r, imm_sel;
120
 
121
//
122
// wr_addr       ram write addres
123
// ram_out       data from ram
124
// sp            stack pointer output
125
// rd_addr       data ram read addres
126
// rd_addr_r     data ram read addres registerd
127
wire [7:0] wr_addr, ram_data, ram_out, sp, sp_r, rd_addr, rd_addr_r, ports_in;
128
 
129
 
130
//
131
// src_sel1_r, src_sel2_r       src select, registred
132
// cy_sel       carry select; from decoder to cy_selct1
133
// rom_addr_sel rom addres select; alu or pc
134
// ext_adddr_sel        external addres select; data pointer or Ri
135
// write_p      output from decoder; write to external ram, go to register;
136
wire [1:0] src_sel1_r, src_sel2_r, cy_sel, cy_sel_r;
137
wire src_sel3, src_sel3_r, rom_addr_sel, ext_addr_sel, rmw, ea_int, wr_xaddr;
138
 
139
//
140
// int_uart     interrupt from uart
141
// tf0          interrupt from t/c 0
142
// tf1          interrupt from t/c 1
143
// tr0          timer 0 run
144
// tr1          timer 1 run
145 54 simont
wire int_uart, tf0, tf1, tr0, tr1, reti, intr, ack, istb;
146 46 simont
wire [7:0] int_src;
147
 
148
//
149
//alu_op        alu operation (from decoder)
150
//alu_op_r      alu operation (registerd)
151
//psw_set       write to psw or not; from decoder to psw (through register)
152
wire [3:0] alu_op, alu_op_r; wire [1:0] psw_set, psw_set_r;
153
 
154
//
155
// immediate1_r         from imediate_sel1 to alu_src1_sel1
156
// immediate2_r         from imediate_sel1 to alu_src2_sel1
157
// src1. src2, src2     alu sources
158
// des2, des2           alu destinations
159
// des1_r               destination 1 registerd (to comp1)
160
// psw                  output from psw
161
// desCy                carry out
162
// desAc
163
// desOv                overflow
164
// wr, wr_r             write to data ram
165
wire [7:0] src1, src2, src3, des1, des2, des1_r, psw, psw_r;
166
wire desCy, desAc, desOv, alu_cy, wr, wr_r;
167
wire [7:0] immediate1_r, immediate2_r;
168
 
169
 
170
//
171
// rd           read program rom
172
// pc_wr_sel    program counter write select (from decoder to pc)
173
wire rd, pc_wr;
174
wire [1:0] pc_wr_sel;
175
 
176
//
177
// op1_n                from op_select to decoder
178
// op2_n,         output of op_select, to immediate_sel1, pc1, comp1
179
// op3_n,         output of op_select, to immediate_sel1, ram_wr_sel1
180
// op2_dr,      output of op_select, to ram_rd_sel1, ram_wr_sel1
181
wire [7:0] op1_n, op2_n, op2_dr, op3_n, pc_hi_r;
182
wire [7:0] op2_dr_r, ri_r, op3_nr;
183
wire [2:0] op1_r;
184
 
185
//
186
// comp_sel     select source1 and source2 to compare
187
// eq           result (from comp1 to decoder)
188
// wad2, wad2_r write to accumulator from destination 2
189
wire [1:0] comp_sel;
190
wire eq, wad2, wad2_r;
191
 
192
 
193
//
194
// bit_addr     bit addresable instruction
195
// bit_data     bit data from ram to ram_select
196
// bit_out      bit data from ram_select to alu and cy_select
197
wire bit_addr, bit_data, bit_out, bit_addr_r;
198
 
199
//
200
// p     parity from accumulator to psw
201
wire p;
202
wire b_bit, acc_bit, psw_bit, int_bit, port_bit, uart_bit;
203
 
204
 
205
//
206
//registers
207
oc8051_reg8 oc8051_reg8_pc_hi(.clk(clk), .rst(rst), .din(pc[15:8]), .dout(pc_hi_r));
208
//oc8051_reg1 oc8051_reg1_write(.clk(clk), .rst(rst), .din(write_p), .dout(we_o));
209
 
210
oc8051_reg2 oc8051_reg2_src_sel1(.clk(clk), .rst(rst), .din(src_sel1), .dout(src_sel1_r));
211
oc8051_reg2 oc8051_reg2_src_sel2(.clk(clk), .rst(rst), .din(src_sel2), .dout(src_sel2_r));
212
oc8051_reg1 oc8051_reg1_sre_sel3(.clk(clk), .rst(rst), .din(src_sel3), .dout(src_sel3_r));
213
 
214
oc8051_reg1 oc8051_reg1_wr (.clk(clk), .rst(rst), .din(wr), .dout(wr_r));
215
//oc8051_reg8 oc8051_reg8_wr_addr (.clk(clk), .rst(rst), .din(wr_addr1), .dout(wr_addr_r));
216
oc8051_reg3 oc8051_reg3_wr_sel(.clk(clk), .rst(rst), .din(ram_wr_sel), .dout(ram_wr_sel_r));
217
oc8051_reg3 oc8051_reg3_op1(.clk(clk), .rst(rst), .din(op1_n[2:0]), .dout(op1_r));
218
oc8051_reg8 oc8051_reg8_op2(.clk(clk), .rst(rst), .din(op2_dr), .dout(op2_dr_r));
219
oc8051_reg8 oc8051_reg8_ri(.clk(clk), .rst(rst), .din(ri), .dout(ri_r));
220
oc8051_reg8 oc8051_reg8_op3(.clk(clk), .rst(rst), .din(op3_n), .dout(op3_nr));
221
//oc8051_reg5 oc8051_reg5_rn(.clk(clk), .rst(rst), .din({psw[4:3], op1_n[2:0]}), .dout(rn_r));
222
 
223
oc8051_reg4 oc8051_reg4_alu_op(.clk(clk), .rst(rst), .din(alu_op), .dout(alu_op_r));
224
 
225
oc8051_reg1 oc8051_reg1_bit_addr(.clk(clk), .rst(rst), .din(bit_addr), .dout(bit_addr_r));
226
 
227
oc8051_reg1 oc8051_reg1_wad2(.clk(clk), .rst(rst), .din(wad2), .dout(wad2_r));
228
//oc8051_reg8 oc8051_reg8_des1(.clk(clk), .rst(rst), .din(des1), .dout(des1_r));
229
oc8051_reg2 oc8051_reg2_cy(.clk(clk), .rst(rst), .din(cy_sel), .dout(cy_sel_r));
230
oc8051_reg2 oc8051_psw_reg (.clk(clk), .rst(rst), .din(psw_set), .dout(psw_set_r));
231
//oc8051_reg8 oc8051_op2_dr_reg (.clk(clk), .rst(rst), .din(op2_dr), .dout(op2_dr_r));
232
oc8051_reg8 oc8051_reg8_rd_ram (.clk(clk), .rst(rst), .din(rd_addr), .dout(rd_addr_r));
233
 
234
//
235
//program counter
236
oc8051_pc oc8051_pc1(.rst(rst), .clk(clk), .pc_out(pc), .alu({des2,des1}),
237
       .pc_wr_sel(pc_wr_sel), .op1(op1_n), .op2(op2_n), .op3(op3_n), .wr(pc_wr),
238 54 simont
       .rd((rd && !(istb_o && !iack_i))), .intr(intr));
239 46 simont
 
240
//
241
// decoder
242
oc8051_decoder oc8051_decoder1(.clk(clk), .rst(rst), .op_in(op1_n), .ram_rd_sel(ram_rd_sel),
243
                 .ram_wr_sel(ram_wr_sel), .bit_addr(bit_addr), .src_sel1(src_sel1), .wr_xaddr(wr_xaddr),
244
                 .src_sel2(src_sel2), .src_sel3(src_sel3), .alu_op(alu_op), .psw_set(psw_set),
245
                 .imm_sel(imm_sel), .cy_sel(cy_sel), .wr(wr), .pc_wr(pc_wr), .pc_sel(pc_wr_sel),
246
                 .comp_sel(comp_sel), .eq(eq), .rom_addr_sel(rom_addr_sel), .ext_addr_sel(ext_addr_sel),
247 54 simont
                .wad2(wad2), .rd(rd), .we_o(we_o), .reti(reti), .rmw(rmw), .stb_o(stb_o), .ack_i(ack_i),
248
    .istb(istb), .ea(ea && ea_int), .iack(iack_i));
249 46 simont
 
250
 
251
 
252
//
253
// ram read and ram write select
254
oc8051_ram_rd_sel oc8051_ram_rd_sel1 (.sel(ram_rd_sel),  .sp(sp), .ri(ri),
255
                .rn({psw[4:3], op1_n[2:0]}), .imm(op2_dr), .addr_out(rd_addr));
256
 
257
oc8051_ram_wr_sel oc8051_ram_wr_sel1 (.sel(ram_wr_sel_r),  .sp(sp_r),
258
         .rn({psw_r[4:3], op1_r}), .imm(op2_dr_r), .ri(ri_r), .imm2(op3_nr), .addr_out(wr_addr));
259
 
260
 
261
//
262
//alu
263
oc8051_alu oc8051_alu1(.rst(rst), .clk(clk), .op_code(alu_op_r), .src1(src1), .src2(src2), .src3(src3),
264
         .srcCy(alu_cy), .srcAc(psw_r[6]), .des1(des1), .des2(des2), .des1_r(des1_r), .desCy(desCy),
265
         .desAc(desAc), .desOv(desOv), .bit_in(bit_out));
266
 
267
 
268
//
269
//
270
oc8051_immediate_sel oc8051_immediate_sel1(.clk(clk), .rst(rst), .sel(imm_sel), .op1(op1_n), .op2(op2_n),
271
          .op3(op3_n), .pch(pc_hi_r), .pcl(pc[7:0]), .out1(immediate1_r), .out2(immediate2_r));
272
 
273
//
274
//data ram
275
oc8051_ram_top oc8051_ram_top1(.clk(clk), .rst(rst), .rd_addr(rd_addr), .rd_data(ram_data),
276
          .wr_addr(wr_addr), .bit_addr(bit_addr), .wr_data(des1), .wr(wr_r),
277
          .bit_data_in(desCy), .bit_data_out(bit_data));
278
 
279
//
280
//
281
oc8051_acc oc8051_acc1(.clk(clk), .rst(rst), .bit_in(desCy), .data_in(des1),
282
           .data2_in(des2), .wr(wr_r), .wr_bit(bit_addr_r), .wad2(wad2_r),
283
           .wr_addr(wr_addr), .rd_addr(rd_addr[2:0]), .data_out(acc), .bit_out(acc_bit), .p(p),
284
     .stb_o(stb_o), .we_o(we_o), .ack_i(ack_i), .xdata(dat_i));
285
 
286
 
287
//
288
//
289
oc8051_b_register oc8051_b_register (.clk(clk), .rst(rst), .bit_in(desCy), .bit_out(b_bit), .data_in(des1),
290
                    .wr(wr_r), .wr_bit(bit_addr_r), .wr_addr(wr_addr), .rd_addr(rd_addr[2:0]), .data_out(b_reg));
291
 
292
//
293
//
294
oc8051_alu_src1_sel oc8051_alu_src1_sel1(.sel(src_sel1_r), .immediate(immediate1_r),
295
                .acc(acc), .ram(ram_out), .ext(dat_i), .des(src1));
296
oc8051_alu_src2_sel oc8051_alu_src2_sel1(.sel(src_sel2_r), .immediate(immediate2_r),
297
                .acc(acc), .ram(ram_out), .des(src2));
298
oc8051_alu_src3_sel oc8051_alu_src3_sel1(.sel(src_sel3_r), .pc(pc_hi_r),
299
                .dptr(dptr_hi), .des(src3));
300
 
301
//
302
//
303
oc8051_comp oc8051_comp1(.sel(comp_sel), .eq(eq), .b_in(bit_out), .cy(psw_r[7]), .acc(acc), .des(des1_r));
304
 
305
//
306
//stack pointer
307
oc8051_sp oc8051_sp1(.clk(clk), .rst(rst), .ram_rd_sel(ram_rd_sel), .ram_wr_sel(ram_wr_sel),
308
                 .wr_addr(wr_addr), .wr(wr_r), .wr_bit(bit_addr_r), .data_in(des1),
309
                 .data_out(sp), .data_out_r (sp_r));
310
 
311
//
312
//program rom
313 54 simont
oc8051_rom oc8051_rom1(.rst(rst), .clk(clk), .ea_int(ea_int), .addr(iadr_o),
314 46 simont
                .data1(op1_i), .data2(op2_i), .data3(op3_i));
315
 
316
//
317
//data pointer
318
oc8051_dptr oc8051_dptr1(.clk(clk), .rst(rst), .addr(wr_addr), .data_in(des1),
319
                .data2_in(des2), .wr(wr_r), .wr_bit(bit_addr_r), .wd2(ram_wr_sel_r),
320
                .data_hi(dptr_hi), .data_lo(dptr_lo));
321
 
322
//
323
//
324
oc8051_cy_select oc8051_cy_select1(.cy_sel(cy_sel_r), .cy_in(psw_r[7]), .data_in(bit_out),
325
                 .data_out(alu_cy));
326
 
327
//
328
//program status word
329
oc8051_psw oc8051_psw1 (.clk(clk), .rst(rst), .wr_addr(wr_addr), .rd_addr(rd_addr[2:0]), .data_in(des1), .wr(wr_r),
330
                .wr_bit(bit_addr_r), .data_out(psw), .data_out_r(psw_r), .bit_out(psw_bit), .p(p), .cy_in(desCy),
331
                .ac_in(desAc), .ov_in(desOv), .set(psw_set_r));
332
 
333
//
334
//
335 54 simont
oc8051_indi_addr oc8051_indi_addr1 (.clk(clk), .rst(rst), .addr(wr_addr),
336
      .data_in(des1), .wr(wr_r), .wr_bit(bit_addr_r), .data_out(ri),
337
      .sel(op1_n[0]), .bank(psw[4:3]));
338 46 simont
 
339
//
340
//
341 54 simont
oc8051_rom_addr_sel oc8051_rom_addr_sel1(.clk(clk), .rst(rst), .iack_i(iack_i),
342
               .ea(ea && ea_int), .sel(rom_addr_sel), .des1(des1), .des2(des2),
343
               .pc(pc), .out_addr(iadr_o));
344 46 simont
 
345
//
346
//
347
oc8051_ext_addr_sel oc8051_ext_addr_sel1(.clk(clk), .rst(rst), .sel(ext_addr_sel),
348
                 .dptr_hi(dptr_hi), .dptr_lo(dptr_lo), .ri(ri), .addr_out(adr_o), .wr(wr_xaddr));
349
 
350
//
351
//
352
oc8051_ram_sel oc8051_ram_sel1(.addr(rd_addr_r), .bit_in(bit_data), .in_ram(ram_data),
353
                .psw(psw_r), .acc(acc), .dptr_hi(dptr_hi), .ports_in(ports_in), .sp(sp_r),
354
                .b_reg(b_reg), .uart(uart), .int(int_out), .tc(tc_out), .b_bit(b_bit),
355
                .acc_bit(acc_bit), .psw_bit(psw_bit), .int_bit(int_bit), .port_bit(port_bit),
356
                .uart_bit(uart_bit), .bit_out(bit_out), .out_data(ram_out));
357
 
358
//
359
//
360
oc8051_ports oc8051_ports1(.clk(clk), .rst(rst), .bit_in(desCy), .data_in(des1), .wr(wr_r),
361
                 .wr_bit(bit_addr_r), .wr_addr(wr_addr), .rd_addr(rd_addr), .rmw(rmw),
362
                 .data_out(ports_in), .bit_out(port_bit), .p0_out(p0_out), .p1_out(p1_out), .p2_out(p2_out),
363
                 .p3_out(p3_out), .p0_in(p0_in), .p1_in(p1_in), .p2_in(p2_in), .p3_in(p3_in));
364
 
365
//
366
//
367
oc8051_op_select oc8051_op_select1(.clk(clk), .rst(rst), .ea(ea), .ea_int(ea_int), .op1_i(op1_i),
368
                .op2_i(op2_i), .op3_i(op3_i), .op1_x(op1), .op2_x(op2), .op3_x(op3),
369
                .op1_out(op1_n), .op2_out(op2_n), .op2_direct(op2_dr), .op3_out(op3_n),
370 54 simont
                .intr(intr), .int_v(int_src), .rd(rd), .ack(ack), .istb(istb), .istb_o(istb_o),
371
    .iack_i(iack_i));
372 46 simont
 
373
//
374
// serial interface
375
oc8051_uart oc8051_uatr1 (.clk(clk), .rst(rst), .bit_in(desCy), .rd_addr(rd_addr),
376
                .data_in(des1), .wr(wr_r), .wr_bit(bit_addr_r), .wr_addr(wr_addr),
377
                .data_out(uart), .bit_out(uart_bit), .rxd(rxd), .txd(txd), .intr(int_uart), .t1_ow(tf1));
378
 
379
 
380
oc0851_int oc8051_int1(.clk(clk), .rst(rst), .wr_addr(wr_addr), .rd_addr(rd_addr), .bit_in(desCy), .ack(ack),
381
                .intr(intr), .data_in(des1), .data_out(int_out), .bit_out(int_bit), .wr(wr_r), .wr_bit(bit_addr_r), .tf0(tf0), .tf1(tf1),
382
                .ie0(int0), .ie1(int1), .reti(reti), .int_vec(int_src), .tr0(tr0), .tr1(tr1), .uart(int_uart));
383
 
384
oc8051_tc oc8051_tc1(.clk(clk), .rst(rst), .wr_addr(wr_addr), .rd_addr(rd_addr),
385
                .data_in(des1), .wr(wr_r), .wr_bit(bit_addr_r), .ie0(int0), .ie1(int1), .tr0(tr0),
386
                .tr1(tr1), .t0(t0), .t1(t1), .data_out(tc_out), .tf0(tf0), .tf1(tf1));
387
 
388
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.