OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [tags/] [rel_2/] [sim/] [rtl_sim/] [run/] [run_sim.scr] - Blame information for rev 186

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 101 simont
#!/bin/csh -f
2
 
3
if ( $# < 1 ) then
4
    echo "First argument must be a top level module name!"
5
    exit
6
else
7
    set SIM_TOP = $1
8
endif
9
 
10
set current_par = 1
11
set output_waveform = 0
12
while ( $current_par < $# )
13
    @ current_par = $current_par + 1
14
    case wave:
15
        @ output_waveform = 1
16
        breaksw
17
    default:
18
        echo 'Unknown option "'$argv[$current_par]'"!'
19
        exit
20
        breaksw
21
    endsw
22
end
23
 
24
echo "-CDSLIB ../bin/cds.lib"          > ncvlog.args
25
echo "-HDLVAR ../bin/hdl.var"         >> ncvlog.args
26
echo "-MESSAGES"                      >> ncvlog.args
27
echo "-INCDIR ../../../bench/verilog" >> ncvlog.args
28
echo "-INCDIR ../../../rtl/verilog"   >> ncvlog.args
29
echo "-NOCOPYRIGHT"                   >> ncvlog.args
30
echo "-LOGFILE ../log/ncvlog.log"     >> ncvlog.args
31
 
32
 
33
echo "../../../bench/verilog/oc8051_tb.v                            " >> ncvlog.args
34
echo "../../../bench/verilog/oc8051_xram.v                          " >> ncvlog.args
35
echo "../../../bench/verilog/oc8051_uart_test.v                     " >> ncvlog.args
36
echo "../../../bench/verilog/oc8051_xrom.v                          " >> ncvlog.args
37
echo "../../../rtl/verilog/oc8051_top.v                             " >> ncvlog.args
38
echo "../../../rtl/verilog/oc8051_alu_src_sel.v                     " >> ncvlog.args
39
echo "../../../rtl/verilog/oc8051_alu.v                             " >> ncvlog.args
40
echo "../../../rtl/verilog/oc8051_decoder.v                         " >> ncvlog.args
41
echo "../../../rtl/verilog/oc8051_divide.v                          " >> ncvlog.args
42
echo "../../../rtl/verilog/oc8051_multiply.v                        " >> ncvlog.args
43
echo "../../../rtl/verilog/oc8051_memory_interface.v                " >> ncvlog.args
44
echo "../../../rtl/verilog/oc8051_ram_top.v                         " >> ncvlog.args
45
echo "../../../rtl/verilog/oc8051_acc.v                             " >> ncvlog.args
46
echo "../../../rtl/verilog/oc8051_comp.v                            " >> ncvlog.args
47
echo "../../../rtl/verilog/oc8051_sp.v                              " >> ncvlog.args
48
echo "../../../rtl/verilog/oc8051_dptr.v                            " >> ncvlog.args
49
echo "../../../rtl/verilog/oc8051_cy_select.v                       " >> ncvlog.args
50
echo "../../../rtl/verilog/oc8051_psw.v                             " >> ncvlog.args
51
echo "../../../rtl/verilog/oc8051_indi_addr.v                       " >> ncvlog.args
52
echo "../../../rtl/verilog/oc8051_ports.v                           " >> ncvlog.args
53
echo "../../../rtl/verilog/oc8051_b_register.v                      " >> ncvlog.args
54
echo "../../../rtl/verilog/oc8051_uart.v                            " >> ncvlog.args
55
echo "../../../rtl/verilog/oc8051_int.v                             " >> ncvlog.args
56
echo "../../../rtl/verilog/oc8051_tc.v                              " >> ncvlog.args
57
echo "../../../rtl/verilog/oc8051_tc2.v                             " >> ncvlog.args
58
echo "../../../rtl/verilog/oc8051_icache.v                          " >> ncvlog.args
59
echo "../../../rtl/verilog/oc8051_wb_iinterface.v                   " >> ncvlog.args
60
echo "../../../rtl/verilog/oc8051_sfr.v                             " >> ncvlog.args
61
echo "../../../rtl/verilog/oc8051_rom.v                             " >> ncvlog.args
62
 
63 176 simont
echo "../../../rtl/verilog/oc8051_ram_256x8_two_bist.v              " >> ncvlog.args
64
echo "../../../rtl/verilog/oc8051_ram_64x32_dual_bist.v             " >> ncvlog.args
65
 
66
 
67 106 simont
echo "../../../../common/generic_memories/rtl/verilog/generic_dpram.v" >> ncvlog.args
68 101 simont
 
69 106 simont
 
70 101 simont
ncvlog -f ncvlog.args
71
 
72
 
73
echo "-MESSAGES"                             > ncelab.args
74
echo "-NOCOPYRIGHT"                         >> ncelab.args
75
echo "-CDSLIB ../bin/cds.lib"               >> ncelab.args
76
echo "-HDLVAR ../bin/hdl.var"               >> ncelab.args
77
echo "-LOGFILE ../log/ncelab.log"           >> ncelab.args
78
echo "-SNAPSHOT worklib.bench:rtl"          >> ncelab.args
79
echo "-NO_TCHK_MSG"                         >> ncelab.args
80
echo "-ACCESS +RWC"                         >> ncelab.args
81
echo worklib.$SIM_TOP                       >> ncelab.args
82
 
83
 
84
ncelab -f ncelab.args
85
 
86
 
87
echo "-MESSAGES"                   > ncsim.args
88
echo "-NOCOPYRIGHT"               >> ncsim.args
89
echo "-CDSLIB ../bin/cds.lib"     >> ncsim.args
90
echo "-HDLVAR ../bin/hdl.var"     >> ncsim.args
91
echo "-INPUT ncsim.tcl"           >> ncsim.args
92
echo "-LOGFILE ../log/ncsim.log"  >> ncsim.args
93
echo "worklib.bench:rtl"          >> ncsim.args
94
 
95
if ( $output_waveform ) then
96
    echo "database -open waves -shm -into ../out/waves.shm"             > ./ncsim.tcl
97
    echo "probe -create -database waves $SIM_TOP -shm -all -depth all" >> ./ncsim.tcl
98
    echo "run"                                                         >> ./ncsim.tcl
99
else
100
    echo "run"  > ./ncsim.tcl
101
endif
102
 
103
echo "quit" >> ncsim.tcl
104
 
105
 
106
ncsim -LICQUEUE -f ./ncsim.args
107
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.